WorldWideScience

Sample records for a-plane gan films

  1. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  2. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  3. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  4. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  5. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  7. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  8. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  9. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  10. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  11. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  13. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  14. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  15. Influence of growth temperature and temperature ramps on deep level defect incorporation in m-plane GaN

    International Nuclear Information System (INIS)

    Armstrong, A. M.; Kelchner, K.; Nakamura, S.; DenBaars, S. P.; Speck, J. S.

    2013-01-01

    The dependence of deep level defect incorporation in m-plane GaN films grown by metal-organic chemical vapor deposition on bulk m-plane GaN substrates as a function of growth temperature (T g ) and T g ramping method was investigated using deep level optical spectroscopy. Understanding the influence of T g on GaN deep level incorporation is important for InGaN/GaN multi-quantum well (MQW) light emitting diodes (LEDs) and laser diodes (LDs) because GaN quantum barrier (QB) layers are grown much colder than thin film GaN to accommodate InGaN QW growth. Deep level spectra of low T g (800 °C) GaN films grown under QB conditions were compared to deep level spectra of high T g (1150 °C) GaN. Reducing T g , increased the defect density significantly (>50×) through introduction of emergent deep level defects at 2.09 eV and 2.9 eV below the conduction band minimum. However, optimizing growth conditions during the temperature ramp when transitioning from high to low T g substantially reduced the density of these emergent deep levels by approximately 40%. The results suggest that it is important to consider the potential for non-radiative recombination in QBs of LED or LD active regions, and tailoring the transition from high T g GaN growth to active layer growth can mitigate such non-radiative channels

  16. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  17. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  18. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  19. First-principle-based full-dispersion Monte Carlo simulation of the anisotropic phonon transport in the wurtzite GaN thin film

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ruikang; Hu, Run, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn; Luo, Xiaobing, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn [State Key Laboratory of Coal Combustion and Thermal Packaging Laboratory, School of Energy and Power Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-04-14

    In this study, we developed a first-principle-based full-dispersion Monte Carlo simulation method to study the anisotropic phonon transport in wurtzite GaN thin film. The input data of thermal properties in MC simulations were calculated based on the first-principle method. The anisotropy of thermal conductivity in bulk wurtzite GaN is found to be strengthened by isotopic scatterings and reduced temperature, and the anisotropy reaches 40.08% for natural bulk GaN at 100 K. With the GaN thin film thickness decreasing, the anisotropy of the out-of-plane thermal conductivity is heavily reduced due to both the ballistic transport and the less importance of the low-frequency phonons with anisotropic group velocities. On the contrary, it is observed that the in-plane thermal conductivity anisotropy of the GaN thin film is strengthened by reducing the film thickness. And the anisotropy reaches 35.63% when the natural GaN thin film thickness reduces to 50 nm at 300 K with the degree of specularity being zero. The anisotropy is also improved by increasing the surface roughness of the GaN thin film.

  20. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  1. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  2. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  4. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  5. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  6. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  7. Investigation of blue luminescence in Mg-doped nonpolar a-plane GaN

    International Nuclear Information System (INIS)

    Kim, Hogyoung; Song, Keun Man

    2014-01-01

    The temperature-dependent optical characteristics of blue luminescence (BL) band in Mg-doped nonpolar a-plane GaN films were investigated using photoluminescence (PL) measurements. For the sample with the highest Cp 2 Mg/TMGa ([Mg]/[Ga]) molar ratio, the BL band was shown to have two distinct peaks, one at about 2.95 eV and the other at about 2.75 eV, which were associated with the donor–acceptor pair (DAP) transitions between the one shallow Mg acceptor level and the two different deep donor levels. In contrast, a single broad BL band was observed for all other samples. Strong potential fluctuations caused by high compensation level in the sample with the highest [Mg]/[Ga] molar ratio might localize the carriers related to the 2.75 eV band, leading to the different emission characteristics in BL band as compared to other samples. -- Highlights: • The temperature-dependent optical characteristics of blue luminescence (BL) in Mg-doped nonpolar a-plane GaN were investigated using photoluminescence (PL) measurements. • At the highest [Mg]/[Ga] molar ratio, the BL was observed to have two distinct peaks at low temperatures. • The BL was associated with the one shallow Mg acceptor level and the two different Mg-related deep donor levels. • Strong potential fluctuations caused by high compensation level might localize the carriers

  8. Investigation of blue luminescence in Mg-doped nonpolar a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hogyoung [Department of Optometry, Seoul National University of Science and Technology, Seoul 139-743 (Korea, Republic of); Song, Keun Man, E-mail: skmmec@gmail.com [Korea Advanced Nano Fab Center, Suwon, Gyeonggi 443-770 (Korea, Republic of)

    2014-01-15

    The temperature-dependent optical characteristics of blue luminescence (BL) band in Mg-doped nonpolar a-plane GaN films were investigated using photoluminescence (PL) measurements. For the sample with the highest Cp{sub 2}Mg/TMGa ([Mg]/[Ga]) molar ratio, the BL band was shown to have two distinct peaks, one at about 2.95 eV and the other at about 2.75 eV, which were associated with the donor–acceptor pair (DAP) transitions between the one shallow Mg acceptor level and the two different deep donor levels. In contrast, a single broad BL band was observed for all other samples. Strong potential fluctuations caused by high compensation level in the sample with the highest [Mg]/[Ga] molar ratio might localize the carriers related to the 2.75 eV band, leading to the different emission characteristics in BL band as compared to other samples. -- Highlights: • The temperature-dependent optical characteristics of blue luminescence (BL) in Mg-doped nonpolar a-plane GaN were investigated using photoluminescence (PL) measurements. • At the highest [Mg]/[Ga] molar ratio, the BL was observed to have two distinct peaks at low temperatures. • The BL was associated with the one shallow Mg acceptor level and the two different Mg-related deep donor levels. • Strong potential fluctuations caused by high compensation level might localize the carriers.

  9. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  10. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  11. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  12. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  13. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  14. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  15. In-plane anisotropic strain of elastically and plastically deformed III-nitrides on lithium gallate

    Energy Technology Data Exchange (ETDEWEB)

    Namkoong, Gon, E-mail: gnamkoon@odu.ed [Old Dominion University, Electrical and Computer Engineering, Applied Research Center, 12050 Jefferson Avenue, Newport News, VA 23606 (United States); Huang, Sa; Moseley, Michael; Doolittle, W. Alan [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)

    2009-10-30

    We have investigated both elastically and plastically deformed GaN films on lithium gallate, LiGaO{sub 2}, by molecular beam epitaxy. The in-plane lattice parameters were determined from high resolution X-ray diffraction and indicated two different groups of in-plane lattice parameters, influenced by the a- and b-axis of LiGaO{sub 2}. The measured in-plane lattice parameters indicate that there exist both compressive and tensile strains of in-plane GaN along the a- and b-axis of LiGaO{sub 2}, respectively. This anisotropic strain in GaN films forms a slight distortion of the basal-plane hexagonal structure of GaN films, leading to a different critical thickness of 4.0 {+-} 0.17 and 7.8 {+-} 0.7 nm along the a- and b-axis of LiGaO{sub 2}, respectively.

  16. In-plane anisotropic strain of elastically and plastically deformed III-nitrides on lithium gallate

    International Nuclear Information System (INIS)

    Namkoong, Gon; Huang, Sa; Moseley, Michael; Doolittle, W. Alan

    2009-01-01

    We have investigated both elastically and plastically deformed GaN films on lithium gallate, LiGaO 2 , by molecular beam epitaxy. The in-plane lattice parameters were determined from high resolution X-ray diffraction and indicated two different groups of in-plane lattice parameters, influenced by the a- and b-axis of LiGaO 2 . The measured in-plane lattice parameters indicate that there exist both compressive and tensile strains of in-plane GaN along the a- and b-axis of LiGaO 2 , respectively. This anisotropic strain in GaN films forms a slight distortion of the basal-plane hexagonal structure of GaN films, leading to a different critical thickness of 4.0 ± 0.17 and 7.8 ± 0.7 nm along the a- and b-axis of LiGaO 2 , respectively.

  17. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  18. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  19. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  20. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  1. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  2. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  3. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  4. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  5. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  6. X-ray determination of strain in ion implanted GaN

    International Nuclear Information System (INIS)

    Qadri, S.B.; Molnar, B.; Yousuf, M.; Carosella, C.A.

    2002-01-01

    The out-of-plane c, and in-plane a, lattice parameters of wurtzite gallium nitride (GaN) films, grown on the [0 0 0 1] basal plane of sapphire have been determined and the impact of ion implantation having dose between 5x10 13 and 5x10 15 cm -2 investigated. The thickness of the GaN layers was in the 1-3.5 μm range. The overall effect of the (0 0 0 1) GaN growth on (0 0 0 1) sapphire is biaxial compression in wurtzite α-GaN. Earlier X-ray studies have indicated that the films of GaN grow either purely in α-GaN phase or in α-GaN phase with a cubic β-GaN component. In contrast, our high-resolution X-ray diffraction (XRD) measurement revealed two isostructural polymorphs of α-GaN phases having different lattice parameters. Influence of ion implantation is to increase the values of lattice parameters a and c and could be rationalized in terms of an increase in the defects. At doses above 5x10 15 cm -2 , XRD analysis indicates the existence of an amorphous layer preventing the determination of a and c accurately

  7. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  8. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  9. Mn doped GaN thin films and nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Šofer, Z.; Sedmidubský, D.; Huber, Š.; Hejtmánek, Jiří; Macková, Anna; Fiala, R.

    2012-01-01

    Roč. 9, 8-9 (2012), s. 809-824 ISSN 1475-7435 R&D Projects: GA ČR GA104/09/0621 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : GaN nanoparticles * GaN thin films * manganese * transition metals * MOVPE * ion implantations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.087, year: 2012

  10. Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching

    International Nuclear Information System (INIS)

    Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.

    2015-01-01

    Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer

  11. Theoretical investigation of electronic, magnetic and optical properties of Fe doped GaN thin films

    International Nuclear Information System (INIS)

    Salmani, E.; Mounkachi, O.; Ez-Zahraouy, H.; Benyoussef, A.; Hamedoun, M.; Hlil, E.K.

    2013-01-01

    Highlights: •Magnetic and optical properties Fe-doped GaN thin films are studied using DFT. •The band gaps of GaN thin films are larger than the one of the bulk. •The layer thickness and acceptor defect can switch the magnetic ordering. -- Abstract: Using first principles calculations based on spin-polarized density functional theory, the magnetic and optical properties of GaN and Fe-doped GaN thin films with and without acceptor defect is studied. The band structure calculations show that the band gaps of GaN thin films with 2, 4 and 6 layers are larger than the one of the bulk with wurtzite structure and decreases with increasing the film thickness. In Fe doped GaN thin films, we show that layer of thickness and acceptor defect can switch the magnetic ordering from disorder local moment (DLM) to ferromagnetic (FM) order. Without acceptor defect Fe doped GaN exhibits spin glass phase in 4 layers form and ferromagnetic state for 2 layers form of the thin films, while it exhibits ferromagnetic phase with acceptor defect such as vacancies defect for 2 and 4 layers. In the FM ordering, the thin films is half-metallic and is therefore ideal for spin application. The different energy between ferromagnetic state and disorder local moment state was evaluated. Moreover, the optical absorption spectra obtained by ab initio calculations confirm the ferromagnetic stability based on the charge state of magnetic impurities

  12. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  13. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  14. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  15. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  16. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Secondary ion mass spectrometry analysis of In-doped p-type GaN films

    International Nuclear Information System (INIS)

    Chiou, C.Y.; Wang, C.C.; Ling, Y.C.; Chiang, C.I.

    2003-01-01

    SIMS was used to investigate the isoelectronic In-doped p-type GaN films. The growth rate of the p-type GaN film decreased with increasing Mg and In doping. The Mg saturation in GaN was 3.55x10 19 atoms/cm 3 . The role of In as surfactant was evaluated by varying In concentrations and it was observed that the surface appeared smooth with increasing In incorporation. The Mg solubility in p-type GaN improved to 0.0025% molar ratio of the GaN with In incorporation. The In concentration results observed in neutron activation analysis (NAA) were found to be higher by a factor of 2.88 than that observed in SIMS and can be attributed to the difference in sensitivity of the two techniques. Good linearity in the results was observed from both techniques

  19. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  20. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  1. Optical nonlinearities and ultrafast all-optical switching of m-plane GaN in the near-infrared

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Yu; Zhou, Feng; Yang, Junyi; Yang, Yong [College of Physics, Optoelectronics and Energy, Soochow University, 215006 Suzhou (China); Xiao, Zhengguo; Wu, Xingzhi [Department of Physics, Harbin Institute of Technology, 150001 Harbin (China); Song, Yinglin, E-mail: ylsong@hit.edu.cn [College of Physics, Optoelectronics and Energy, Soochow University, 215006 Suzhou (China); Department of Physics, Harbin Institute of Technology, 150001 Harbin (China)

    2015-06-22

    We reported a systematic investigation on the three-photon absorption (3PA) spectra and wavelength dispersion of Kerr refraction of bulk m-plane GaN crystal with both polarization E⊥c and E//c by femtosecond Z-scan technique in the near-infrared region from 760 to 1030 nm. Both 3PA spectra and Kerr refraction dispersion were in good agreement with two-band models. The calculated nonlinear figure of merit and measured ultrafast nonlinear refraction dynamics via femtosecond pump-probe with phase object method revealed that m-plane GaN would be a promising candidate for ultrafast all-optical switching and autocorrelation applications at telecommunication wavelengths.

  2. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on γ-LiAlO2 substrates

    International Nuclear Information System (INIS)

    Mauder, Christof

    2011-01-01

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO 2 ) substrates. The limited thermal and chemical stability of the LiAlO 2 substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO 2 . This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO 2 allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 μm. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of ∝ 20 nm in a 50 x 50 μm 2 scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x 0.5 μm 2 scan) is found, which is also an indication for

  3. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  4. Spatially and spectrally resolved photoluminescence of InGaN MQWs grown on highly Si doped a-plane GaN buffer

    Energy Technology Data Exchange (ETDEWEB)

    Thunert, Martin; Wieneke, Matthias; Dempewolf, Anja; Bertram, Frank; Dadgar, Armin; Krost, Alois; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany)

    2011-07-01

    A set of InGaN multi quantum well (MQW) samples grown by MOVPE on highly Si doped a-plane GaN on r-plane sapphire templates has been investigated using spatially resolved photoluminescence spectroscopy ({mu}-PL). The Si doping level of nominal about 10{sup 20} cm{sup -3} leads to three dimensionally grown crystallites mostly terminated by m-facets. The MQW thickness has been systematically varied from nominally 2.1 to 4.2 nm, as well as the InGaN growth temperature, which was varied from 760 C to 700 C. The growth of a-plane GaN based devices leads to a non-polar growth direction avoiding the polarization field affected Quantum-Confined-Stark-Effect. Spatially resolved PL studies show for all samples low near band edge (NBE) GaN emission intensity over the whole area under investigation accompanied by highly intense InGaN MQW emission for single crystallites. The MQW luminescence shows a systematic blueshift with increasing InGaN growth temperature due to lower In incorporation as well as a systematic redshift with increasing MQW thickness. Excitation power dependent spectra at 4 K as well as temperature dependent PL spectra will be presented.

  5. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  6. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  7. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    Science.gov (United States)

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  8. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  9. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  10. Schottky contacts to polar and nonpolar n-type GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hogyoung [Hanbat National University, Daejeon (Korea, Republic of); Phark, Soohyon [Max-Planck-Institut fur Mikrostrukturphysik, Halle (Germany); Song, Keunman [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of); Kim, Dongwook [Ewha Woman' s University, Seoul (Korea, Republic of)

    2012-01-15

    Using the current-voltage measurements, we observed the barrier heights of c-plane GaN in Pt and Au Schottky contacts to be higher than those of a-plane GaN. However, the barrier height of c-plane GaN was lower than that of a-plane GaN in the Ti Schottky contacts. The N/Ga ratio calculated by integrating the X-ray photoelectron spectroscopy (XPS) spectra of Ga 3d and N 1s core levels showed that c-plane GaN induced more Ga vacancies near the interface than a-plane GaN in the Ti Schottky contacts, reducing the effective barrier height through an enhancement of the tunneling probability.

  11. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  12. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    Science.gov (United States)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  13. In-situ TEM study of domain switching in GaN thin films

    Science.gov (United States)

    Wang, Baoming; Wang, Tun; Haque, Aman; Snure, Michael; Heller, Eric; Glavin, Nicholas

    2017-09-01

    Microstructural response of gallium nitride (GaN) films, grown by metal-organic chemical vapor deposition, was studied as a function of applied electrical field. In-situ transmission electron microscopy showed sudden change in the electron diffraction pattern reflecting domain switching at around 20 V bias, applied perpendicular to the polarization direction. No such switching was observed for thicker films or for the field applied along the polarization direction. This anomalous behavior is explained by the nanoscale size effects on the piezoelectric coefficients of GaN, which can be 2-3 times larger than the bulk value. As a result, a large amount of internal energy can be imparted in 100 nm thick films to induce domain switching at relatively lower voltages to induce such events at the bulk scale.

  14. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  15. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  16. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  17. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on {gamma}-LiAlO{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mauder, Christof

    2011-12-20

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO{sub 2}) substrates. The limited thermal and chemical stability of the LiAlO{sub 2} substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO{sub 2}. This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO{sub 2} allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 {mu}m. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of {proportional_to} 20 nm in a 50 x 50 {mu}m{sup 2} scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x

  18. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Thermal Quenching of Photoluminescence from Er-Doped GaN Thin Films

    National Research Council Canada - National Science Library

    Seo, J. T; Hoemmerich, U; Lee, D. C; Heikenfeld, J; Steckl, A. J; Zavada, J. M

    2002-01-01

    The green (537 and 558 nm) and near infrared (1.54 micrometers) photoluminescence (PL) spectra of Er-doped GaN thin films have been investigated as a function of temperature, excitation wavelength, and pump intensity...

  20. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  1. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  2. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  3. Electrical properties of sputtered-indium tin oxide film contacts on n-type GaN

    International Nuclear Information System (INIS)

    Hwang, J. D.; Lin, C. C.; Chen, W. L.

    2006-01-01

    A transparent indium tin oxide (ITO) Ohmic contact on n-type gallium nitride (GaN) (dopant concentration of 2x10 17 cm -3 ) having a specific contact resistance of 4.2x10 -6 Ω cm 2 was obtained. In this study, ITO film deposition method was implemented by sputtering. We found that the barrier height, 0.68 eV, between ITO and n-type GaN is the same for both evaporated- and sputtered-ITO films. However, the 0.68 eV in barrier height renders the evaporated-ITO/n-GaN Schottky contact. This behavior is different from that of our sputtered-ITO/n-GaN, i.e., Ohmic contact. During sputtering, oxygen atoms on the GaN surface were significantly removed, thereby resulting in an improvement in contact resistance. Moreover, a large number of nitrogen (N) vacancies, caused by sputtering, were produced near the GaN surface. These N vacancies acted as donors for electrons, thus affecting a heavily doped n-type formed at the subsurface below the sputtered ITO/n-GaN. Both oxygen removal and heavy doping near the GaN surface, caused by N vacancies, in turn led to a reduction in contact resistivity as a result of electrons tunneling across the depletion layer from the ITO to the n-type GaN. All explanations are given by Auger analysis and x-ray photoelectron spectroscopy

  4. Microscopic investigations of the optical and structural properties of nonpolar InGaN MQWs on a-plane GaN ELOG structures

    Energy Technology Data Exchange (ETDEWEB)

    Schwarz, Torsten; Bastek, Barbara; Hempel, Thomas; Veit, Peter; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Wernicke, Tim; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University Berlin (Germany)

    2010-07-01

    We present the optical and structural properties of InGaN MQWs which were grown by MOVPE on fully coalesced lateral epitaxially overgrown (ELOG) a-plane GaN on r-plane sapphire substrate and stripe masks orientated in the [0110] direction. Photoluminescence (PL) measurements exhibit a strong emission from the InGaN MQW at 3.109 eV at 4 K dominating the GaN (D{sup 0},X) emission at 3.488 eV by two orders of magnitude. The emission from basal plane stacking faults (BSF) was even more suppressed. Transmission electron microscopy showed a drastic reduction of the BSF in the lateral overgrown area (I) compared to the area of coherent growth (II). {mu}-PL and highly spatially resolved cathodoluminescence (CL) measurements revealed an intensity increase of the MQW emission by a factor of two for the defect reduced region (I) compared to the defective region (II). Also a blue shift by 20 meV of the MQW peak emission wavelength in the area (I) in comparison with defective area (II) was observed.

  5. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  6. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  7. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  8. Partially filled intermediate band of Cr-doped GaN films

    International Nuclear Information System (INIS)

    Sonoda, S.

    2012-01-01

    We investigated the band structure of sputtered Cr-doped GaN (GaCrN) films using optical absorption, photoelectron yield spectroscopy, and charge transport measurements. It was found that an additional energy band is formed in the intrinsic band gap of GaN upon Cr doping, and that charge carriers in the material move in the inserted band. Prototype solar cells showed enhanced short circuit current and open circuit voltage in the n-GaN/GaCrN/p-GaN structure compared to the GaCrN/p-GaN structure, which validates the proposed concept of an intermediate-band solar cell.

  9. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  10. Influence of hydrogen impurities on p-type resistivity in Mg-doped GaN films

    International Nuclear Information System (INIS)

    Yang, Jing; Zhao, Degang; Jiang, Desheng; Chen, Ping; Zhu, Jianjun; Liu, Zongshun; Le, Lingcong; He, Xiaoguang; Li, Xiaojing; Zhang, Y. T.; Du, G. T.

    2015-01-01

    The effects of hydrogen impurities on p-type resistivity in Mg-doped GaN films were investigated. It was found that hydrogen impurities may have the dual role of passivating Mg Ga acceptors and passivating donor defects. A decrease in p-type resistivity when O 2 is introduced during the postannealing process is attributed to the fact that annealing in an O 2 -containing environment can enhance the dissociation of Mg Ga -H complexes as well as the outdiffusion of H atoms from p-GaN films. However, low H concentrations are not necessarily beneficial in Mg-doped GaN films, as H atoms may also be bound at donor species and passivate them, leading to the positive effect of reduced compensation

  11. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  12. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  13. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  14. Defect structure in m-plane GaN grown on LiAlO{sub 2} using metalorganic and hydride vapour phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Richter, Eberhard; Knauer, Arne; Brunner, Frank; Weyers, Markus [FBH Berlin (Germany); Mogliatenko, Anna; Neumann, Wolfgang [AG Kristallographie, Institut fuer Physik, HU Berlin (Germany); Kneissl, Michael [FBH Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2008-07-01

    The FWHM of symmetric (10 anti 10) XRD rocking curves of m-plane GaN grown on LiAlO{sub 2} is anisotropic. By investigating the microstructure with transmission electron microscopy (TEM) we identified basal plane stacking faults (BSF) and stacking mismatch boundaries (SMB) in the GaN layers. BSFs are aligned in-plane along the a-direction and therefore cause an anisotropic broadening of the FWHM{sub (10 anti 10)} with incidence along [0001]. SMBs have no preferential direction and hence result in an isotropic broadening of the FWHM{sub (10 anti 10)}. We observed that this anisotropy can be reduced by lowering the MOVPE growth temperature. We propose that the lowering of the growth temperature leads to a reduction of BSFs which is accompanied by an increase in SMBs. The MOVPE grown layers were used as templates for the growth of 200 {mu}m thick m-plane GaN layers by HVPE. During HVPE growth the LiAlO{sub 2} substrate thermally decomposed and peeled off after cool-down. On the surface a network of cracks not being aligned to crystallographic directions was found. The layers were not transparent probably due to metallic Ga inclusions and exhibited an asymmetric bow according to the lattice anisotropy of the (100) LiAlO{sub 2} surface.

  15. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  16. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  17. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  18. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  19. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  20. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  1. Basal-plane stacking faults in non-polar GaN studied by off-axis electron holography

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Lewis Z-Y; Rao, D V Sridhara; Kappers, M J; Humphreys, C J [Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Geiger, D, E-mail: ZL249@cam.ac.u [Triebenberg Laboratory, Institute for Structure Physics, Technische Universitaet Dresden, D-01062 Dresden (Germany)

    2010-02-01

    We have studied basal-plane stacking faults in a non-polar (11-20) GaN epilayer using high-resolution electron microscopy and off-axis electron holography. The microstructure of the basal-plane stacking faults (BSFs) has been determined to be I{sub 1} type from high-resolution TEM images. High-resolution holograms along the [11-20] zone axis were obtained by off-axis electron holography on a Cs-corrected TEM, providing {approx}2 A spatial resolution in the reconstructed amplitude and phase images. Phase fluctuations across the stacking faults were detected, suggesting the presence of a built-in electric field. The uncertainties in the experiments and their interpretation are discussed.

  2. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  3. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  4. Formation of Ga2O3 by the oxidation of p-type GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pinnisch, Melanie; Reppin, Daniel; Stehr, Jan; Laufer, Andreas; Hofmann, Detlev M.; Meyer, Bruno K. [1. Physikalisches Institut, Justus-Liebig-University, Giessen (Germany)

    2010-07-01

    Both GaN and Ga{sub 2}O{sub 3} are wide band gap semiconductors with energies of 3.45 eV and 4.9 eV, respectively. While GaN can be achieved p- or n-type conducting by doping, Ga{sub 2}O{sub 3} is n-type or high resistive dependent on the presence of oxygen vacancies. We studied the conversion of p-type Mg doped GaN thin films to Ga{sub 2}O{sub 3} by thermal treatments in the temperature range from 600 C to 1200 C and in different atmospheres. Changes of the film properties were studied by means of X-ray diffraction, photo-electron spectroscopy and atomic force microscopy. Optical and magnetic resonance methods were used to investigate the evolution of the dopands and defects.

  5. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  6. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    Science.gov (United States)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  7. X-ray absorption and emission study of amorphous and nanocrystalline GaN films containing buried N2

    International Nuclear Information System (INIS)

    Ruck, B.J.; Koo, A.; Budde, F.; Granville, S.; Trodahl, H.J.

    2004-01-01

    Full text: It has been predicted that amorphous gallium nitride (a-GaN) may possess a well-defined wide band gap, and is thus a potential substitute for the more expensive crystalline form used in short wavelength optoelectronic devices. Experimental investigations of disordered GaN have lent support to this prediction, but the picture is complicated because the properties of the amorphous state are not unique, and instead depend on the exact nature of the disordered structure. We have pioneered a novel ion-assisted growth technique that produces GaN films with a microstructure that ranges from nanocrystalline, with crystallite size of order 3 nm, to fully amorphous, depending on the exact growth conditions. This presentation will give an overview of our research into the properties of disordered GaN, including characterization of the physical structure of the films and their electronic energy levels, and also their photoconductive response. In particular I will focus on synchrotron radiation studies of samples with a range of different microstructures. X-ray absorption spectroscopy (XAS) and x-ray emission spectroscopy (XES) provide particularly powerful tools for examining a sample's empty and filled electronic energy levels, respectively. The details of the absorption and emission processes make it possible to obtain atom-specific information and to investigate the symmetry of the electronic levels. An example of the information obtained is shown. The thin solid curve shows XAS data, which is a measure of the nitrogen /7-projected density of unfilled electronic states in this nanocrystalline GaN sample. The thick solid curve shows XES data from the same sample, which provides complementary information about the occupied valence band states. Although the spectral features are broader in fully amorphous films than in nanocrystalline samples, a well-defined band gap exists in both cases with magnitude similar to that of crystalline GaN. There are additional feature

  8. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  9. Synthesis and characteristics of sword-like GaN nanorods clusters through ammoniating Ga2O3 thin films

    International Nuclear Information System (INIS)

    Xue Chengshane; Tian Deheng; Zhuang Huizhao; Zhang Xiaokai; Wu Yuxin; Liu Yi'an; He Jianting; Ai Yujie

    2006-01-01

    Sword-like GaN nanorods have been successfully synthesized by ammoniating Ga 2 O 3 thin films deposited on Si substrate by magnetron sputtering. The GaN nanorods have been characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and selected area electron diffraction (SAED). SEM images show that sword-like GaN nanorods take on radial structure. The XRD and SAED analyses have identified that the nanorods are pure hexagonal GaN with single crystalline wurtzite structure. The HRTEM images indicate that the nanorods are well crystallized and nearly free from defects

  10. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  11. Defect analysis in GaN films of HEMT structure by cross-sectional cathodoluminescence

    Science.gov (United States)

    Isobe, Yasuhiro; Hung, Hung; Oasa, Kohei; Ono, Tasuku; Onizawa, Takashi; Yoshioka, Akira; Takada, Yoshiharu; Saito, Yasunobu; Sugiyama, Naoharu; Tsuda, Kunio; Sugiyama, Toru; Mizushima, Ichiro

    2017-06-01

    Defect analysis of GaN films in high electron mobility transistor (HEMT) structures by cross-sectional cathodoluminescence (X-CL) is demonstrated as a useful technique for improving the current collapse of GaN-HEMT devices, and the relationship between crystal quality and device characteristics is also investigated. The crystal quality of intrinsic-GaN (i-GaN) and carbon-doped GaN produced clearly different peak intensities of blue luminescence (BL), yellow luminescence (YL), and band-edge emission (BE), which is independently detected by X-CL. Current collapse in GaN-HEMT devices is found to be determined by the BL/BE and YL/BE ratios at the top of the i-GaN layer, which is close to the channel. Moreover, the i-GaN thickness required in order to minimize the BL/BE and YL/BE ratios and the thickness dependency of GaN for minimizing the BL/BE and YL/BE ratios depending on the growth conditions can be evaluated by X-CL. However, there is no correlation between current collapse in GaN-HEMT devices and the YL/BE ratio by conventional photoluminescence because HEMT devices consist of multiple GaN layers and the YL signal is detected from the carbon-doped GaN layer. Thus, the X-CL analysis method is a useful technique for device design in order to suppress current collapse.

  12. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  13. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  14. Kinetic instability of AlGaN alloys during MBE growth under metal-rich conditions on m-plane GaN miscut towards the -c axis

    Science.gov (United States)

    Shirazi-HD, M.; Diaz, R. E.; Nguyen, T.; Jian, J.; Gardner, G. C.; Wang, H.; Manfra, M. J.; Malis, O.

    2018-04-01

    AlxGa1-xN layers with Al-composition above 0.6 (0.6 < x < 0.9) grown under metal-rich conditions by plasma-assisted molecular beam epitaxy on m-plane GaN miscut towards the -c axis are kinetically unstable. Even under excess Ga flux, the effective growth rate of AlGaN is drastically reduced, likely due to suppression of Ga-N dimer incorporation. The defect structure generated during these growth conditions is studied with energy dispersive x-ray spectroscopy scanning transmission electron microscopy as a function of Al flux. The AlGaN growth results in the formation of thin Al(Ga)N layers with Al-composition higher than expected and lower Al-composition AlGaN islands. The AlGaN islands have a flat top and are elongated along the c-axis (i.e., stripe-like shape). Possible mechanisms for the observed experimental results are discussed. Our data are consistent with a model in which Al-N dimers promote release of Ga-N dimers from the m-plane surface.

  15. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  16. Growth of group III nitride films by pulsed electron beam deposition

    International Nuclear Information System (INIS)

    Ohta, J.; Sakurada, K.; Shih, F.-Y.; Kobayashi, A.; Fujioka, H.

    2009-01-01

    We have grown group III nitride films on Al 2 O 3 (0 0 0 1), 6H-SiC (0 0 0 1), and ZnO (0001-bar) substrates by pulsed electron beam deposition (PED) for the first time and investigated their characteristics. We found that c-plane AlN and GaN grow epitaxially on these substrates. It has been revealed that the growth of GaN on atomically flat 6H-SiC substrates starts with the three-dimensional mode and eventually changes into the two-dimensional mode. The GaN films exhibited strong near-band-edge emission in their room temperature photoluminescence spectra. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C. - Graphical abstract: We have grown group III nitride films by pulsed electron beam deposition (PED) and found that the films of group III nitrides grow epitaxially on 6H-SiC and Al 2 O 3 substrates. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C.

  17. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  18. Structure and electronic properties of mixed (a + c) dislocation cores in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Horton, M. K., E-mail: m.horton11@imperial.ac.uk [Department Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Rhode, S. L. [Department Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Moram, M. A. [Department Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Department Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom)

    2014-08-14

    Classical atomistic models and atomic-resolution scanning transmission electron microscopy studies of GaN films reveal that mixed (a + c)-type dislocations have multiple different core structures, including a dissociated structure consisting of a planar fault on one of the (12{sup ¯}10) planes terminated by two different partial dislocations. Density functional theory calculations show that all cores introduce localized states into the band gap, which affects device performance.

  19. Structure and electronic properties of mixed (a + c) dislocation cores in GaN

    International Nuclear Information System (INIS)

    Horton, M. K.; Rhode, S. L.; Moram, M. A.

    2014-01-01

    Classical atomistic models and atomic-resolution scanning transmission electron microscopy studies of GaN films reveal that mixed (a + c)-type dislocations have multiple different core structures, including a dissociated structure consisting of a planar fault on one of the (12 ¯ 10) planes terminated by two different partial dislocations. Density functional theory calculations show that all cores introduce localized states into the band gap, which affects device performance

  20. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Hoffmann, Veit; Netzel, Carsten; Knauer, Arne; Weyers, Markus [FBH, Berlin (Germany); Ploch, Simon; Rass, Jens [Institute of Solid State Physics, TU Berlin (Germany); Schade, Lukas; Schwarz, Ulrich [IAF, Freiburg (Germany); Kneissl, Michael [FBH, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2010-07-01

    Recently a number of groups have reported laser diodes in the green spectral range on semi- and nonpolar GaN. Nevertheless the growth process on semipolar surfaces is not well understood. In this study 3.5 {mu} m thick MOVPE grown GaN layers on bulk m-plane, (11 anti 22), (10 anti 12), and (10 anti 11) GaN substrates were investigated. XRD rocking curves exhibit a FWHM of less than 150{sup ''}, indicating excellent crystalline quality. But the surface morphology exhibits hillocks with a height of 1 {mu}m and lateral extension of 150 {mu}m in many cases. Depending on the substrate orientation and the growth temperature different hillock shapes were observed. Morphology and luminescence data point to threading dislocations as formation sources. In QWs the hillock structure is reproduced in the emission intensity and wavelength distribution on (10 anti 11) but not on the m-plane surfaces. The hillocks could be eliminated for the semipolar planes (not for the m-plane) by increasing the reactor pressure and lowering the growth temperature. Hillock free separate confinement laser structures emitting at 405 nm feature a very homogeneous luminescence in micro-PL and show amplified spontaneous emission under high power stripe excitation. Furthermore the In incorporation was found to be highest in QWs on (10 anti 11).

  1. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Perret, Edith [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; University of Fribourg, Department of Physics and Fribourg Center for Nanomaterials, Chemin du Musée 3, CH-1700 Fribourg, Switzerland; Xu, Dongwei [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Highland, M. J. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Stephenson, G. B. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Zapol, P. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Fuoss, P. H. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Munkholm, A. [Munkholm Consulting, Mountain View, California 94043, USA; Thompson, Carol [Department of Physics, Northern Illinois University, DeKalb, Illinois 60115, USA

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.

  2. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  3. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  4. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  5. Nonpolar a-plane light-emitting diode with an in-situ SiNx interlayer on r-plane sapphire grown by metal-organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Fang Hao; Long Hao; Sang Li-Wen; Qi Sheng-Li; Xiong Chang; Yu Tong-Jun; Yang Zhi-Jian; Zhang Guo-Yi

    2011-01-01

    We report on the growth and fabrication of nonpolar a-plane light emitting diodes with an in-situ SiN x interlayer grown between the undoped a-plane GaN buffer and Si-doped GaN layer. X-ray diffraction shows that the crystalline quality of the GaN buffer layer is greatly improved with the introduction of the SiN x interlayer. The electrical properties are also improved. For example, electron mobility and sheet resistance are reduced from high resistance to 31.6 cm 2 /(V·s) and 460 Ω/□ respectively. Owing to the significant effect of the SiN x interlayer, a-plane LEDs are realized. Electroluminescence of a nonpolar a-plane light-emitting diode with a wavelength of 488nm is demonstrated. The emission peak remains constant when the injection current increases to over 20 mA. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  7. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  8. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    Science.gov (United States)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  9. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Kuwano, Noriyuki [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Miyake, Hideto; Hiramatsu, Kazumasa [Department of Electrical and Electronic Engineering, Mie University, Tsu, Mie 514-8507 (Japan); Amano, Hiroshi [Graduate School of Engineering, Akasaki Research Center, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Akasaki, Isamu [Faculty of Science and Technology, Meijo University, 1-501 Shiogamaguchi, Tempaku, Nagoya 468-8502 (Japan)

    2011-05-15

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphology of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al{sub 0.28}Ga{sub 0.72}N layer by the metal-organic vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphology of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of ''climb motion''. Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in analysis of thin-layer growth through the behavior of TDs (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  11. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  13. Coupled Chiral Structure in Graphene-Based Film for Ultrahigh Thermal Conductivity in Both In-Plane and Through-Plane Directions.

    Science.gov (United States)

    Meng, Xin; Pan, Hui; Zhu, Chengling; Chen, Zhixin; Lu, Tao; Xu, Da; Li, Yao; Zhu, Shenmin

    2018-06-21

    The development of high-performance thermal management materials to dissipate excessive heat both in plane and through plane is of special interest to maintain efficient operation and prolong the life of electronic devices. Herein, we designed and constructed a graphene-based composite film, which contains chiral liquid crystals (cellulose nanocrystals, CNCs) inside graphene oxide (GO). The composite film was prepared by annealing and compacting of self-assembled GO-CNC, which contains chiral smectic liquid crystal structures. The helical arranged nanorods of carbonized CNC act as in-plane connections, which bridge neighboring graphene sheets. More interestingly, the chiral structures also act as through-plane connections, which bridge the upper and lower graphene layers. As a result, the graphene-based composite film shows extraordinary thermal conductivity, in both in-plane (1820.4 W m -1 K -1 ) and through-plane (4.596 W m -1 K -1 ) directions. As a thermal management material, the heat dissipation and transportation behaviors of the composite film were investigated using a self-heating system and the results showed that the real-time temperature of the heater covered with the film was 44.5 °C lower than a naked heater. The prepared film shows a much higher efficiency of heat transportation than the commonly used thermal conductive Cu foil. Additionally, this graphene-based composite film exhibits excellent mechanical strength of 31.6 MPa and an electrical conductivity of 667.4 S cm -1 . The strategy reported here may open a new avenue to the development of high-performance thermal management films.

  14. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are key to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices. In fact,...

  15. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  17. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are one of the keys to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices....

  18. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  19. Ga vacancy induced ferromagnetism enhancement and electronic structures of RE-doped GaN

    International Nuclear Information System (INIS)

    Zhong Guohua; Zhang Kang; He Fan; Ma Xuhang; Lu Lanlan; Liu Zhuang; Yang Chunlei

    2012-01-01

    Because of their possible applications in spintronic and optoelectronic devices, GaN dilute magnetic semiconductors (DMSs) doped by rare-earth (RE) elements have attracted much attention since the high Curie temperature was obtained in RE-doped GaN DMSs and a colossal magnetic moment was observed in the Gd-doped GaN thin film. We have systemically studied the GaN DMSs doped by RE elements (La, Ce-Yb) using the full-potential linearized augmented plane wave method within the framework of density functional theory and adding the considerations of the electronic correlation and the spin-orbital coupling effects. We have studied the electronic structures of DMSs, especially for the contribution from f electrons. The origin of magnetism, magnetic interaction and the possible mechanism of the colossal magnetic moment were explored. We found that, for materials containing f electrons, electronic correlation was usually strong and the spin-orbital coupling was sometimes crucial in determining the magnetic ground state. It was found that GaN doped by La was non-magnetic. GaN doped by Ce, Nd, Pm, Eu, Gd, Tb and Tm are stabilized at antiferromagnetic phase, while GaN doped by other RE elements show strong ferromagnetism which is suitable materials for spintronic devices. Moreover, we have identified that the observed large enhancement of magnetic moment in GaN is mainly caused by Ga vacancies (3.0μB per Ga vacancy), instead of the spin polarization by magnetic ions or originating from N vacancies. Various defects, such as substitutional Mg for Ga, O for N under the RE doping were found to bring a reduction of ferromagnetism. In addition, intermediate bands were observed in some systems of GaN:RE and GaN with intrinsic defects, which possibly opens the potential application of RE-doped semiconductors in the third generation high efficiency photovoltaic devices.

  20. Thermoelastic Stress Field Investigation of GaN Material for Laser Lift-off Technique based on Finite Element Method

    International Nuclear Information System (INIS)

    Ting, Wang; Zhan-Zhong, Cui; Li-Xin, Xu

    2009-01-01

    The transient thermoelastic stress fields of GaN films is analyzed by the finite element method for the laser lift-off (LLO) technique. Stress distributions in GaN films irradiated by pulse laser with different energy densities as functions of time and depth are simulated. The results show that the high thermoelastic stress distributions in GaN films localize within about 1 μm below the GaN/Al 2 O 3 interface using proper laser parameters. It is also found that GaN films can avoid the thermal deformation because the maximum thermoelastic stress 4.28 GPa is much smaller than the yield strength of GaN 15GPa. The effects of laser beam dimension and the thickness of GaN films on stress distribution are also analyzed. The variation range of laser beam dimension as a function of the thickness of GaN films is simulated to keep the GaN films free of thermal deformation. LLO experiments are also carried out. GaN-based light-emitting diodes (LEDs) are separated from sapphire substrates using the parameters obtained from the simulation. Compared with devices before LLO, P–I–V measurements of GaN-based LEDs after LLO show that the electrical and optical characteristics improve greatly, indicating that no stress damage is brought to GaN films using proper parameters obtained by calculation during LLO

  1. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  2. Across plane ionic conductivity of highly oriented neodymium doped ceria thin films.

    Science.gov (United States)

    Baure, G; Kasse, R M; Rudawski, N G; Nino, J C

    2015-05-14

    A methodology to limit interfacial effects in thin films is proposed and explained. The strategy is to reduce the impact of the electrode interfaces and eliminate cross grain boundaries that impede ionic motion. To this end, highly oriented Nd0.1Ce0.9O2-δ (NDC) nanocrystalline thin films were grown using pulsed laser deposition (PLD) on platinized single crystal a-plane sapphire substrates. High resolution cross-sectional transmission electron microscopy (HR-XTEM), scanning electron microscopy (SEM) and X-ray diffraction (XRD) verified the films were textured with columnar grains. The average widths of the columns were approximately 40 nm and not significantly changed by film thickness between 100 and 300 nm. HR-XTEM and XRD determined the {111} planes of NDC were grown preferentially on top of the {111} planes of platinum despite the large lattice mismatch between the two planes. From the XRD patterns, the out of plane strains on the platinum and NDC layers were less than 1%. This can be explained by the coincident site lattice (CSL) theory. Rotating the {111} ceria planes 19.11° with respect to the {111} platinum planes forms a Σ7 boundary where 1 in 7 cerium lattice sites are coincident with the platinum lattice sites. This orientation lowers interfacial energy promoting the preferential alignment of those two planes. The across plane ionic conductivity was measured at low temperatures (<350 °C) for the various film thicknesses. It is here shown that columnar grain growth of ceria can be induced on platinized substrates allowing pathways that are clear of blocking grain boundaries that cause conductivities to diminish as film thickness decreases.

  3. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  4. Mg doping and its effect on the semipolar GaN(1122) growth kinetics

    International Nuclear Information System (INIS)

    Lahourcade, L.; Wirthmueller, A.; Monroy, E.; Pernot, J.; Chauvat, M. P.; Ruterana, P.; Laufer, A.; Eickhoff, M.

    2009-01-01

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(1122) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(1122). We observe an enhancement of Mg incorporation in GaN(1122) compared to GaN(0001). Typical structural defects or polarity inversion domains found in Mg-doped GaN(0001) were not observed for the semipolar films investigated in the present study.

  5. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  6. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  7. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Control of in-plane texture of body centered cubic metal thin films

    International Nuclear Information System (INIS)

    Harper, J.M.; Rodbell, K.P.; Colgan, E.G.; Hammond, R.H.

    1997-01-01

    We show that dramatically different in-plane textures can be produced in body centered cubic (bcc) metal thin films deposited on amorphous substrates under different deposition conditions. The crystallographic orientation distribution of polycrystalline bcc metal thin films on amorphous substrates often has a strong left-angle 110 right-angle fiber texture, indicating that {110} planes are parallel to the substrate plane. When deposition takes place under bombardment by energetic ions or atoms at an off-normal angle of incidence, the left-angle 110 right-angle fiber texture develops an in-plane texture, indicating nonrandom azimuthal orientations of the crystallites. Three orientations in Nb films have been observed under different deposition geometries, in which the energetic particle flux coincides with channeling directions in the bcc crystal structure. In-plane orientations in Mo films have also been obtained in magnetron sputtering systems with various configurations. These are described, and an example is given in which the in-plane orientation of Mo films deposited in two different in-line magnetron sputtering systems differs by a 90 degree rotation. In these two cases, there is a strong left-angle 110 right-angle fiber texture, but the in-plane left-angle 100 right-angle direction is oriented parallel to the scan direction in one system, and perpendicular to the scan direction in the other system. The conditions which produce such different in-plane textures in two apparently similar sputtering systems are discussed. copyright 1997 American Institute of Physics

  9. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  10. Investigation of optical gain in Eu-doped GaN thin film grown by OMVPE method

    NARCIS (Netherlands)

    Ha, N.N.; Nishikawa, A.; Fujiwara, Y.; Gregorkiewicz, T.

    We prepare and optically characterize a thin film of GaN:Eu. Room temperature intense emission band at around 620 nm is observed, corresponding to 5D0 → 7F2 electronic dipole transition of Eu3+ ions in the GaN host material. At lower temperatures, three components, at 621, 622, and 623 nm, arising

  11. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  12. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  13. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    Science.gov (United States)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  14. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Energetics and magnetism of Co-doped GaN(0001) surfaces: A first-principles study

    International Nuclear Information System (INIS)

    Qin, Zhenzhen; Xiong, Zhihua; Chen, Lanli; Qin, Guangzhao

    2014-01-01

    A comprehensive first-principles study of the energetics, electronic, and magnetic properties of Co-doped GaN(0001) thin films are presented and the effect of surface structure on the magnetic coupling between Co atoms is demonstrated. It is found that Co atoms prefer to substitute the surface Ga sites in different growth conditions. In particular, a CoN/GaN interface structure with Co atoms replacing the first Ga layer is preferred under N-rich and moderately Ga-rich conditions, while CoGa x /GaN interface is found to be energetically stable under extremely Ga-rich conditions. It is worth noted that the antiferromagnetic coupling between Co atoms is favorable in clean GaN(0001) surface, but the existence of ferromagnetism would be expected to occur as Co concentration increased in Ga-bilayer GaN(0001) surface. Our study provides the theoretical understanding for experimental research on Co-doped GaN films and might promise the Co:GaN system potential applications in spin injection devices

  16. Nanostructured carbon films with oriented graphitic planes

    International Nuclear Information System (INIS)

    Teo, E. H. T.; Kalish, R.; Kulik, J.; Kauffmann, Y.; Lifshitz, Y.

    2011-01-01

    Nanostructured carbon films with oriented graphitic planes can be deposited by applying energetic carbon bombardment. The present work shows the possibility of structuring graphitic planes perpendicular to the substrate in following two distinct ways: (i) applying sufficiently large carbon energies for deposition at room temperature (E>10 keV), (ii) utilizing much lower energies for deposition at elevated substrate temperatures (T>200 deg. C). High resolution transmission electron microscopy is used to probe the graphitic planes. The alignment achieved at elevated temperatures does not depend on the deposition angle. The data provides insight into the mechanisms leading to the growth of oriented graphitic planes under different conditions.

  17. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  18. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    Science.gov (United States)

    Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-01-01

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and , respectively, while they are in the orientations and for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100) and (111) are isotropic, while the Poisson’s ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap

  19. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals

    Directory of Open Access Journals (Sweden)

    Hongbo Qin

    2017-12-01

    Full Text Available For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson’s ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and <111>, respectively, while they are in the orientations <111> and <100> for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson’s ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson’s ratios at planes (100 and (111 are isotropic, while the Poisson’s ratio at plane (110 exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol−1 K−1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger

  20. Mechanical, Thermodynamic and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals.

    Science.gov (United States)

    Qin, Hongbo; Luan, Xinghe; Feng, Chuang; Yang, Daoguo; Zhang, Guoqi

    2017-12-12

    For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and , respectively, while they are in the orientations and for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol -1 K -1 , respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap. Densities of

  1. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  2. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  3. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  4. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    Science.gov (United States)

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  5. Investigation of structural and optical properties of nanoporous GaN film

    International Nuclear Information System (INIS)

    Yam, F.K.; Hassan, Z.; Chuah, L.S.; Ali, Y.P.

    2007-01-01

    The structural and optical characteristics of porous GaN prepared by Pt-assisted electroless etching under different etching durations are reported. The porous GaN samples were investigated by scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), photoluminescence (PL) and Raman scattering. SEM images indicated that the density of the pores increased with the etching duration; however, the etching duration has no significant effect on the size and shape of the pores. XRD measurements showed that the (0 0 0 2) diffraction plane peak width of porous samples was slightly broader than the as-grown sample, and it increased with the etching duration. PL measurements revealed that the near band edge peak of all the porous samples were red-shifted; however, the porosity-induced PL intensity enhancement was only observed in the porous samples; apart from that, two additional strain-induced structural defect-related PL peaks observed in as-grown sample were absent in porous samples. Raman spectra showed that the shift of E 2 (high) to lower frequency was only found in samples with high density of pores. On the contrary, the absence of two forbidden TO modes in the as-grown sample was observed in some of porous samples

  6. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Ploch, Simon [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Hoffmann, Veit; Knauer, Arne; Weyers, Markus [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2011-03-15

    GaN layers on bulk m-plane, (11 anti 22), (10 anti 12) and (10 anti 11) GaN substrates were grown by metal organic vapor phase epitaxy. XRD rocking curves have a FWHM of less than 150'', indicating excellent crystalline quality. However in many cases surface morphology exhibits hillocks with a height of 1-2 {mu}m and a lateral extension of 50-200 {mu}m whereas a smooth surface would be desirable for optoelectronic devices. The influence of growth parameters on the surface morphology was studied. The goal was, to constrain the material redistribution, that is necessary to form large hillocks. This was achieved by lowering the adatom diffusion length by a reduction of temperature and an increased reactor pressure. In the case of the (10 anti 11) and (10 anti 12) semipolar planes a reduction of the adatom diffusion length leads to a reduction of hillock density, hillock size and a smoother surface between hillocks. However, the m-plane surface does not react to a reduction of adatom mobility. Even at 890 C and 400 mbar rectangular pyramids cover the surface. In contrast to the other planes, the (11 anti 22) becomes instable, when the adatom diffusion length is reduced. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  8. Enhanced Properties of Porous GaN Prepared by UV Assisted Electrochemical Etching

    International Nuclear Information System (INIS)

    Ainorkhilah Mahmood; Ainorkhilah Mahmood; Siang, C.L.

    2011-01-01

    The structural and optical properties of porous GaN films on sapphire (0001) prepared by UV assisted electrochemical etching were reported in this study. SEM micrographs indicated that the shapes of the pores for both porous samples are nearly hexagonal. XRD revealed that the broadening in spectrum is due to the small size crystallites. As compared to the as grown GaN films, porous layers exhibit a substantial photoluminescence (PL) intensity enhancement with red-shifted band-edge PL peaks associated with the relaxation of compressive stress. The shift of E2(high) to the lower frequency in Raman spectra of the porous GaN films further confirms such a stress relaxation. (author)

  9. Structural and optical properties of Si-doped GaN

    OpenAIRE

    Cremades Rodríguez, Ana Isabel; Gorgens, L.; Ambacher, O.; Stutzmann, M.; Scholz, F.

    2000-01-01

    Structural and optical properties of Si-doped GaN thin films grown by metal-organic chemical vapor deposition have been studied by means of high resolution x-ray diffraction (XRD), atomic force microscopy, photoluminescence, photothermal deflection spectroscopy, and optical transmission measurements. The incorporation of silicon in the GaN films leads to pronounced tensile stress. The energy position of the neutral donor bound excitonic emission correlates with the measured stress. The stress...

  10. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    International Nuclear Information System (INIS)

    Liu, H F; Chi, D Z; Liu, W; Guo, S

    2016-01-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal–organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [–4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al 2 O 3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings. (paper)

  11. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    Science.gov (United States)

    Liu, H. F.; Liu, W.; Guo, S.; Chi, D. Z.

    2016-03-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal-organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [-4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al2O3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings.

  12. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  13. Transition from out-of-plane to in-plane contribution for the optical second harmonic generation response from a silver metallic nanoparticle film

    Energy Technology Data Exchange (ETDEWEB)

    El Harfouch, Yara; Benichou, Emmanuel; Pu, Lin; Bachelier, Guillaume; Russier-Antoine, Isabelle; Jonin, Christian; Brevet, Pierre-Francois, E-mail: Emmanuel.Benichou@lasim.univ-lyon1.fr [Laboratoire de Spectrometrie Ionique et Moleculaire, Universite Claude Bernard Lyon 1-CNRS (UMR 5579), Batiment Alfred Kastler, 43 boulevard du 11 Novembre 1918, F-69622 Villeurbanne Cedex (France)

    2011-06-29

    The time evolution of the second harmonic generation (SHG) intensity during the formation of a silver spherical nanoparticle film at the water/1,2-dichloroethane interface is reported. The 5 nm diameter silver nanoparticles were initially dispersed in the water phase and their precipitation at the interface was triggered with the addition of sodium chloride. The time evolution of the SHG intensity exhibited two distinct regimes. First, an intensity increase was observed during the film formation with the deposition and the reorganization of the nanoparticles at the interface. Then, a slow decrease of the intensity due to rearrangements within the film was observed. Polarization-resolved experiments were also performed and showed that the initial dominant out-of-plane contribution of the quadratic nonlinearity underwent a reorientational change towards a dominant in-plane contribution associated with a smoother but still discontinuous metallic film.

  14. Extremely high hole concentrations in c-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Trybus, Elaissa; Moseley, Michael; Henderson, Walter; Billingsley, Daniel [Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA (United States); Namkoong, Gon [Old Dominion University, Applied Research Center, Newport News, VA (United States); Look, David C. [Wright State University, Semiconductor Research Center, Dayton, OH (United States); Doolittle, W.A.

    2009-06-15

    Metal Modulated Epitaxy (S. D. Burnham et al., J. Appl. Phys. 104, 024902 (2008)[1]) is extended to include modulation of both the shutters of Ga and Mg, the Mg being delivered from a Veeco corrosive series valved cracker (S. D. Burnham et al., Mater. Res. Soc. Proc. 798, Y8.11 (2003)[2]). The Ga fluxes used are sufficiently large that droplets rapidly form when the Ga shutter opens and are subsequently depleted when the Ga shutter closes. The result is the ability to limit surface faceting while predominantly growing under average N-rich growth conditions and thus, possibly reduce N-vacancy defects. N-vacancy defects are known to result in compensation. This ability to grow higher quality materials under N-rich conditions results in very high hole concentrations and low resistivity p-type materials. Hole concentrations as high as 2 x 10{sup 19} cm{sup -3} have been achieved on c-plane GaN resulting in resistivities as low as 0.38 ohm-cm. The dependence on Ga flux, shutter timing, the corresponding RHEED images for each condition is detailed and clearly show minimization of faceting and crystal quality variations as determined by X-ray diffraction. Quantification of the Mg incorporation and residual impurities such as hydrogen, oxygen, and carbon by SIMS, eliminates co-doping, while temperature dependent hall measurements show reduced activation energies. X-ray diffraction data compares crystalline quality with hole concentration. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Recombination dynamics in coalesced a-plane GaN ELO structures investigated by high spatially and ps-time-resolved cathodoluminescence microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bastek, B.; Bertram, F.; Christen, J. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, M. [Institute of Solid State Physics, Technical University, Berlin (Germany)

    2008-07-01

    The characteristic epitaxial lateral overgrowth (ELO) domains of fully coalesced a-plane GaN layers were directly imaged by highly spatially and spectrally resolved cathodoluminescence microscopy (CL) at 5 K. The patterned layers were grown by MOVPE on r-plane sapphire substrate and stripe masks oriented in the [01 anti 10] direction. In the area of coherent growth (I) the broad basal plane stacking fault (BSF) emission centered at 3.41 eV dominates the spectra. Also in the region (II) of coalescence the BSF luminescence dominates, however, the intensity increases by one order of magnitude compared to area (I). In complete contrast, in the stripes associated with the laterally grown domains (III) in [0001] direction, exclusively an intense and sharp (D{sup 0},X) emission at 3.475 eV is observed. ps-time-resolved CL of the free excitons (FX) recorded from this domains (III) decays bi-exponentially. The initial lifetime of 180 ps is primarily given by the capture of FX by impurities to form bound excitons (BE). With rising temperature this capture time constant decreases as T{sup -1/4} and reaches a minimum of 104 ps at T=60 K. Above 60 K, i.e. when FX starts to dominate the BEs, the lifetime increases rapidly to a value of 240 ps for 300 K.

  16. Light Modulation and Water Splitting Enhancement Using a Composite Porous GaN Structure.

    Science.gov (United States)

    Yang, Chao; Xi, Xin; Yu, Zhiguo; Cao, Haicheng; Li, Jing; Lin, Shan; Ma, Zhanhong; Zhao, Lixia

    2018-02-14

    On the basis of the laterally porous GaN, we designed and fabricated a composite porous GaN structure with both well-ordered lateral and vertical holes. Compared to the plane GaN, the composite porous GaN structure with the combination of the vertical holes can help to reduce UV reflectance and increase the saturation photocurrent during water splitting by a factor of ∼4.5. Furthermore, we investigated the underlying mechanism for the enhancement of the water splitting performance using a finite-difference time-domain method. The results show that the well-ordered vertical holes can not only help to open the embedded pore channels to the electrolyte at both sides and reduce the migration distance of the gas bubbles during the water splitting reactions but also help to modulate the light field. Using this composite porous GaN structure, most of the incident light can be modulated and trapped into the nanoholes, and thus the electric fields localized in the lateral pores can increase dramatically as a result of the strong optical coupling. Our findings pave a new way to develop GaN photoelectrodes for highly efficient solar water splitting.

  17. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  18. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A., E-mail: rao28@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom)

    2015-08-24

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.

  19. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    International Nuclear Information System (INIS)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A.

    2015-01-01

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness

  20. Anomalously large ferromagnetic resonance linewidth in the Gd/Cr/Fe film plane

    Science.gov (United States)

    Sun, Li; Zhang, Wen; Wong, Ping Kwan Johnny; Yin, Yuli; Jiang, Sheng; Huang, Zhaocong; Zhai, Ya; Yao, Zhongyu; Du, Jun; Sui, Yunxia; Zhai, Hongru

    2018-04-01

    As an important parameter for characterizing the magnetization dynamics, Gilbert damping constant α in a thin film or a multilayer is generally extracted from the linear fitting of the frequency-dependence of the ferromagnetic resonance linewidth, sometimes accompanied with a tiny deviation of the linewidth to a smaller value at the low-frequency or high-frequency region due to the two-magnon scattering with an in-plane-field configuration, in which an in-plane magnetic field H perpendicular to a microwave field h was applied in film plane during measurement. In contrast, here we report, in ultrathin Gd/Cr/Fe multilayers, an anomalously large linewidth in the film plane at the low-frequency region. For the first time, we have successfully extracted the Gilbert damping constant from perfect theoretical fitting to the experimental data, by considering the effective direction of the magnetization around in precession staying out of the film plane when the in-pane H at which the precession starts is below the saturation field. This magnetization deviation from the film plane is found to have an obvious contribution to the enhanced linewidth caused by two magnon scattering, while slightly reduce the intrinsic linewidth. Under the same resonance frequency, the deviation angle reaches the maximum values at tCr = 1.0 nm while decreases when tCr increases to 1.5 nm, which coincides with the trend of the surface perpendicular anisotropy constant K⊥. A reduced intrinsic damping constant α is obtained as the introduction of Gd layer and Cr layer as a result of the competition between the spin pumping effect and the interfacial effects at the Fe/Gd and Fe/Cr interfaces. While the decreasing α for film with Cr layer thickness increasing to 1.5 nm might means the contribution of the electron density of states at the Fermi energy n(EF). This study offers an effective way to accurately obtain the intrinsic damping constant of spintronic materials/devices, which is essential

  1. Wurtzite/zinc-blende electronic-band alignment in basal-plane stacking faults in semi-polar GaN

    Science.gov (United States)

    Monavarian, Morteza; Hafiz, Shopan; Izyumskaya, Natalia; Das, Saikat; Özgür, Ümit; Morkoç, Hadis; Avrutin, Vitaliy

    2016-02-01

    Heteroepitaxial semipolar and nonpolar GaN layers often suffer from high densities of extended defects including basal plane stacking faults (BSFs). BSFs which are considered as inclusions of cubic zinc-blende phase in wurtzite matrix act as quantum wells strongly affecting device performance. Band alignment in BSFs has been discussed as type of band alignment at the wurtzite/zinc blende interface governs the response in differential transmission; fast decay after the pulse followed by slow recovery due to spatial splitting of electrons and heavy holes for type- II band alignment in contrast to decay with no recovery in case of type I band alignment. Based on the results, band alignment is demonstrated to be of type II in zinc-blende segments in wurtzite matrix as in BSFs.

  2. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    Science.gov (United States)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  3. Synthesis of in-plane aligned a-axis YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Young, K.H.; Sun, J.Z.

    1991-01-01

    We report the successful synthesis of superconducting YBa 2 Cu 3 O 7-δ (YBCO) (100) thin films with alignment of the in-plane c axis. These films were grown on single crystal NdGaO 3 (110) substrates. The twofold symmetry of the substrate surface is believed to lead to anisotropic alignment of the in-plane c axis of the epitaxial YBCO (100) film. X-ray diffraction studies indicate that over 80% of the film grew epitaxially with the YBCO [100] perpendicular to the substrate surface, and YBCO [001] aligned along one pseudo-cubic axis of the NdGaO 3 . The superconductivity onset of the film was measured to be 89 K by ac susceptibility

  4. A density functional theory study of the TMG adsorption on the GaN surface

    Energy Technology Data Exchange (ETDEWEB)

    Ptasinska, Maria; Soltys, Jakub; Piechota, Jacek [Interdisciplinary Centre for Materials Modelling, University of Warsaw, ul. Pawinskiego 5a, 02-106 Warszawa (Poland); Krukowski, Stanislaw [Interdisciplinary Centre for Materials Modelling, University of Warsaw, ul. Pawinskiego 5a, 02-106 Warszawa (Poland); Institute of High Pressure Physics, Polish Academy of Sciences, ul. Sokolowska 29/37, 01-142 Warsaw (Poland)

    2011-07-01

    TMG (trimetylogallium) and NH{sub 3} (ammonia) are widely used reactants in the metal organic chemical vapor deposition (MOCVD) technique used in the growth of the GaN thin films. We have recently examined theoretically, with the help of the density functional theory (DFT), TMG adsorption on the GaN(0001) surface in order to study formation of bonds between Ga and N. Dangling bonds on the GaN(0001) surface were saturated with the hydrogen atoms. The slab polarization, which is due to the dangling bonds present on the GaN(0001) surface, and energy of the system in the vicinity of TMG was computed for different distances between the surface atoms and TMG. We also studied TMG diffusion on the GaN surface. As a result, the energy path for diffusion from Top N to Hollow was obtained.

  5. Barrier characteristics of Pt/Ru Schottky contacts on n-type GaN ...

    Indian Academy of Sciences (India)

    Pt/Ru Schottky rectifiers; n-type GaN; temperature–dependent electrical properties; inhomogeneous barrier heights .... a 2 μm thick Si-doped GaN films which were grown by .... ted values of ap using (9) for two Gaussian distributions of bar-.

  6. Modification of GaN(0001) growth kinetics by Mg doping

    International Nuclear Information System (INIS)

    Monroy, E.; Andreev, T.; Holliger, P.; Bellet-Amalric, E.; Shibata, T.; Tanaka, M.; Daudin, B.

    2004-01-01

    We have studied the effect of Mg doping on the surface kinetics of GaN during growth by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface of GaN, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN. The growth window is hence significantly reduced. Higher growth temperatures lead to an enhancement of Mg segregation and an improvement of the surface morphology

  7. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  8. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery

    International Nuclear Information System (INIS)

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-01-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10 15 cm −3 , by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. - Highlights: • Ni-63 is employed as the pure beta radioisotope source. • The Schottky junction betavoltaic battery is based on the wide-band gap semiconductor GaN. • The total energy deposition of incident beta particles in GaN was simulated by the Monte Carlo method. • A Fe-doped compensation technique is suggested to increase the energy conversion efficiency

  9. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  10. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    International Nuclear Information System (INIS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-01-01

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  11. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Qingxue [School of Physics, Shandong University, Jinan, 250100 (China); Liu, Rong [Department of Fundamental Theories, Shandong Institute of Physical Education and Sports, Jinan 250063 (China); Xiao, Hongdi, E-mail: hdxiao@sdu.edu.cn [School of Physics, Shandong University, Jinan, 250100 (China); Cao, Dezhong; Liu, Jianqiang; Ma, Jin [School of Physics, Shandong University, Jinan, 250100 (China)

    2016-11-30

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  12. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  13. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  14. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  17. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  18. Influence of V/III growth flux ratio on trap states in m-plane GaN grown by ammonia-based molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Z.; Arehart, A. R.; Hurni, C. A.; Speck, J. S.; Ringel, S. A.

    2012-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) were utilized to investigate the behavior of deep states in m-plane, n-type GaN grown by ammonia-based molecular beam epitaxy (NH 3 -MBE) as a function of systematically varied V/III growth flux ratios. Levels were detected at E C - 0.14 eV, E C - 0.21 eV, E C - 0.26 eV, E C - 0.62 eV, E C - 0.67 eV, E C - 2.65 eV, and E C - 3.31 eV, with the concentrations of several traps exhibiting systematic dependencies on V/III ratio. The DLTS spectra are dominated by traps at E C - 0.14 eV and E C - 0.67 eV, whose concentrations decreased monotonically with increasing V/III ratio and decreasing oxygen impurity concentration, and by a trap at E C - 0.21 eV that revealed no dependence of its concentration on growth conditions, suggestive of different physical origins. Higher concentrations of deeper trap states detected by DLOS with activation energies of E C - 2.65 eV and E C - 3.31 eV in each sample did not display measureable sensitivity to the intentionally varied V/III ratio, necessitating further study on reducing these deep traps through growth optimization for maximizing material quality of NH 3 -MBE grown m-plane GaN.

  19. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  20. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    Science.gov (United States)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  1. A comparative study on MOVPE InN grown on Ga- and N-polarity bulk GaN

    International Nuclear Information System (INIS)

    Wang, W.J.; Miwa, H.; Hashimoto, A.; Yamamoto, A.

    2006-01-01

    The influence of substrate polarity on the growth of InN film by MOVPE was investigated using bulk GaN as a substrate. Single-crystalline In- and N-polarity InN films were obtained on Ga- and N-polarity GaN substrate, respectively. Significant difference of the morphologies between the In- and N-polarity InN films was found. For the In-polarity InN film, the morphology was similar to that grown on sapphire substrate. The film surface was consisted of grains with small facets. In contrast, for the N-polarity InN film, the surface was consisted of large hexagonal shape crystal grains with flat surface. The grain size was about 2 μm in diameter on the average, and two-dimensional growth was enhanced obviously for each crystal grain. The influence of the growth temperature on the morphology, polarity, and optical property was also investigated. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  3. One-dimensional in-plane edge domain walls in ultrathin ferromagnetic films

    Science.gov (United States)

    Lund, Ross G.; Muratov, Cyrill B.; Slastikov, Valeriy V.

    2018-03-01

    We study existence and properties of 1D edge domain walls in ultrathin ferromagnetic films with uniaxial in-plane magnetic anisotropy. In these materials, the magnetization vector is constrained to lie entirely in the film plane, with the preferred directions dictated by the magnetocrystalline easy axis. We consider magnetization profiles in the vicinity of a straight film edge oriented at an arbitrary angle with respect to the easy axis. To minimize the micromagnetic energy, these profiles form transition layers in which the magnetization vector rotates away from the direction of the easy axis to align with the film edge. We prove existence of edge domain walls as minimizers of the appropriate 1D micromagnetic energy functional and show that they are classical solutions of the associated Euler-Lagrange equation with a Dirichlet boundary condition at the edge. We also perform a numerical study of these 1D domain walls and uncover further properties of these domain wall profiles.

  4. Visualisasi dan Transformasi Kebertubuhan Dalam Film Animasi Planes (Ke Arah Pembentukan Mitos Baru)

    OpenAIRE

    Acep Iwan Saidi; Agung Eko Budiwaspada

    2015-01-01

    ABSTRACT This research is entitled “Visualization and Transformation of Embodiment in the Film of Planes Animation”. As an animation film, Planes is interesting because it is using inanimate objects, in this case the planes, as characters. This fact indicates that the character transformation is done by an animator, from the character of inanimate objects in to live character.  By using the  methods of structural and semiotic analysis, found that the transformation is done not only for pe...

  5. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  6. In-situ measurement of the strain relaxation of GaN nanograins during X-ray irradiation

    International Nuclear Information System (INIS)

    Choe, Hyeokmin; Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo

    2008-01-01

    GaN nanograins were grown on a c-plane sapphire substrate and their strain relaxation due to X-ray irradiation was investigated in-situ by utilizing synchrotron xray scattering. The GaN nanograins were constantly exposed to the synchrotron X-ray and θ-2θ scans through the (002) Bragg peak of GaN were repeatedly carried out during the irradiation. The Bragg peak of the compressively strained GaN nanograins gradually shifted toward higher angle, which implies that the GaN nanograins in compressive strain experienced strain relaxation during X-ray irradiation. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces; Rastertunnelmikroskopie und -spektroskopie an GaN- und InGaN-Oberflaechen

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, David

    2009-12-02

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  8. Photo-assisted Kelvin probe force microscopy investigation of three dimensional GaN structures with various crystal facets, doping types, and wavelengths of illumination

    Science.gov (United States)

    Ali Deeb, Manal; Ledig, Johannes; Wei, Jiandong; Wang, Xue; Wehmann, Hergo-Heinrich; Waag, Andreas

    2017-08-01

    Three dimensional GaN structures with different crystal facets and doping types have been investigated employing the surface photo-voltage (SPV) method to monitor illumination-induced surface charge behavior using Kelvin probe force microscopy. Various photon energies near and below the GaN bandgap were used to modify the generation of electron-hole pairs and their motion under the influence of the electric field near the GaN surface. Fast and slow processes for Ga-polar c-planes on both Si-doped n-type as well as Mg-doped p-type GaN truncated pyramid micro-structures were found and their origin is discussed. The immediate positive (for n-type) and negative (for p-type) SPV response dominates at band-to-band and near-bandgap excitation, while only the slow process is present at sub-bandgap excitation. The SPV behavior for the semi-polar facets of the p-type GaN truncated pyramids has a similar characteristic to that on its c-plane, which indicates that it has a comparable band bending and no strong influence of the polarity-induced charges is detectable. The SPV behavior of the non-polar m-facets of the Si-doped n-type part of a transferred GaN column is similar to that of a clean c-plane GaN surface during illumination. However, the SPV is smaller in magnitude, which is attributed to intrinsic surface states of m-plane surfaces and their influence on the band bending. The SPV behavior of the non-polar m-facet of the slightly Mg-doped part of this GaN column is found to behave differently. Compared to c- and r-facets of p-type surfaces of GaN-light-emitting diode micro-structures, the m-plane is more chemically stable.

  9. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  10. High-frequency domain wall excitations in magnetic garnet films with in-plane magnetization

    International Nuclear Information System (INIS)

    Synogach, V.T.; Doetsch, H.

    1996-01-01

    Magnetic garnet films of compositions (YBi) 3 Fe 5 O 12 and (LuBi) 3 Fe 5 O 12 are grown by liquid-phase epitaxy on [110]- and [100]-oriented substrates of gadolinium gallium garnet, respectively. All films have in-plane magnetization. 180 degree and 90 degree domain walls in these films are studied by microwave technique. In addition to the known low-frequency mode of wall translation new multiple resonant modes of both 90 degree and 180 degree domain walls with very small linewidth (4.2 MHz) are observed at frequencies near 1 GHz. Resonances are effectively excited by an rf magnetic field which is parallel or perpendicular to the wall plane. Resonance frequencies are shown to have nonlinear dispersion dependence on the mode number: they decrease with increasing in-plane magnetic field normal to the wall plane. copyright 1996 The American Physical Society

  11. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Science.gov (United States)

    Ueno, Kohei; Fudetani, Taiga; Arakawa, Yasuaki; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-12-01

    We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD) technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm-3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V-1 s-1 at a carrier concentration of 3.9 × 1020 cm-3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  12. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Directory of Open Access Journals (Sweden)

    Kohei Ueno

    2017-12-01

    Full Text Available We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm−3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V−1 s−1 at a carrier concentration of 3.9 × 1020 cm−3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  13. Direct Observation of the BCC (100) Plane in Thin Films of Sphere-forming Diblock Copolymers

    Science.gov (United States)

    Ji, Shengxiang; Nagpal, Umang; Liao, Wen; de Pablo, Juan; Nealey, Paul

    2010-03-01

    In sphere-forming diblock copolymers, periodic arrays of spheres are arranged in a body-centred cubic (BCC) lattice structure in bulk. However, in thin films different surface morphologies were observed as a function of the film thickness, and the transition from the hexagonal array to the BCC (110) arrangement of spheres on film surfaces was located with respect to the increase of the film thickness. Here we report the first direct observation of the BCC (100) plane in thin films of poly (styrene-b-methyl methacrylate) diblock copolymers on homogeneous substrates. By balancing the surface energies of both blocks, the lower energy BCC (100) plane corresponding to a square arrangement of half spheres, formed on film surfaces when the film thickness was commensurate with the spacing, L100, between (100) planes or greater than 2 L100. A hexagonal arrangement of spheres was only observed when the thickness was less than 2 L100 and incommensurate with 1 L100. Monte Carlo (MC) simulation confirmed our experimental observation and was used to investigate the transition of the arrangement of spheres as a function of the film thickness.

  14. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  15. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  16. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  17. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  18. Optically active centers in Eu implanted, Eu in situ doped GaN, and Eu doped GaN quantum dots

    International Nuclear Information System (INIS)

    Bodiou, L.; Braud, A.; Doualan, J.-L.; Moncorge, R.; Park, J. H.; Munasinghe, C.; Steckl, A. J.; Lorenz, K.; Alves, E.; Daudin, B.

    2009-01-01

    A comparison is presented between Eu implanted and Eu in situ doped GaN thin films showing that two predominant Eu sites are optically active around 620 nm in both types of samples with below and above bandgap excitation. One of these sites, identified as a Ga substitutional site, is common to both types of Eu doped GaN samples despite the difference in the GaN film growth method and in the doping technique. High-resolution photoluminescence (PL) spectra under resonant excitation reveal that in all samples these two host-sensitized sites are in small amount compared to the majority of Eu ions which occupy isolated Ga substitutional sites and thus cannot be excited through the GaN host. The relative concentrations of the two predominant host-sensitized Eu sites are strongly affected by the annealing temperature for Eu implanted samples and by the group III element time opening in the molecular beam epitaxy growth. Red luminescence decay characteristics for the two Eu sites reveal different excitation paths. PL dynamics under above bandgap excitation indicate that Eu ions occupying a Ga substitutional site are either excited directly into the 5 D 0 level or into higher excited levels such as 5 D 1 , while Eu ions sitting in the other site are only directly excited into the 5 D 0 level. These differences are discussed in terms of the spectral overlap between the emission band of a nearby bound exciton and the absorption bands of Eu ions. The study of Eu doped GaN quantum dots reveals the existence of only one type of Eu site under above bandgap excitation, with Eu PL dynamics features similar to Eu ions in Ga substitutional sites

  19. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  20. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  1. Synthesis and characterization of silicon-doped polycrystalline GaN ...

    Indian Academy of Sciences (India)

    Silicon-doped polycrystalline GaN films were successfully deposited at temperatures ranging from 300 to 623 K on fused silica and silicon substrates by radio frequency (r.f.) magnetron sputtering at a system pressure of ~ 5 Pa. The films were characterized by optical as well as microstructural measurements. The optical ...

  2. Discovering a Defect that Imposes a Limit to Mg Doping in p-Type GaN

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Tomaszewicz, T.; Zakharov, D.; O'Keefe, M.A.

    2006-01-01

    Gallium nitride (GaN) is the III-V semiconductor used to produce blue light-emitting diodes (LEDs) and blue and ultraviolet solid-state lasers. To be useful in electronic devices, GaN must be doped with elements that function either as electron donors or as acceptors to turn it into either an n-type semiconductor or a p-type semiconductor. It has been found that GaN can easily be grown with n-conductivity, even up to large concentrations of donors--in the few 10 19 cm -3 range. However, p-doping, the doping of the structure with atoms that provide electron sinks or holes, is not well understood and remains extremely difficult. The only efficient p-type dopant is Mg, but it is found that the free hole concentration is limited to 2 x 10 18 cm -3 , even when Mg concentrations are pushed into the low 10 19 cm -3 range. This saturation effect could place a limit on further development of GaN based devices. Further increase of the Mg concentration, up to 1 x 10 20 cm -3 leads to a decrease of the free hole concentration and an increase in defects. While low- to medium-brightness GaN light-emitting diodes (LEDs) are remarkably tolerant of crystal defects, blue and UV GaN lasers are much less so. We used electron microscopy to investigate Mg doping in GaN. Our transmission electron microscopy (TEM) studies revealed the formation of different types of Mg-rich defects [1,2]. In particular, high-resolution TEM allowed us to characterize a completely new type of defect in Mg-rich GaN. We found that the type of defect depended strongly on crystal growth polarity. For crystals grown with N-polarity, planar defects are distributed at equal distances (20 unit cells of GaN); these defects can be described as inversion domains [1]. For growth with Ga-polarity, we found a different type of defect [2]. These defects turn out to be three-dimensional Mg-rich hexagonal pyramids (or trapezoids) with their base on the (0001) plane and their six walls formed on {1123} planes (Fig. 1a). In

  3. Characterization of GaN films grown on GaAs by AP-MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Vilchis, H; Sanchez-R, V M; Escobosa, A, E-mail: heber_vil@hotmail.co [Department of Electrical Engineering, CINVESTAV-IPN, Av. Instituto Politecnico Nacional 2508 Col. San Pedro Zacatenco C.P. 07360 Mexico D.F (Mexico)

    2009-05-01

    In this paper we present the results of the synthesis of GaN in an AP-MOVPE system heated by infrared lamps starting from gallium nitride films obtained by nitridation of gallium arsenide. Although dependence of the characteristics of the different parameters of the deposition process on the properties of the layers has been widely studied, the influence of the nature and design of the heating source has been only scarcely reported. We show that the ratio between the two phases depends on the characteristics of the heating source, as well as on other growth parameters. Our results show a compromise between the characteristics of the photoluminescence spectra, the surface morphology and the cubic phase to hexagonal phase ratio. The growth conditions can be adjusted for optimal performance.

  4. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  5. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    Energy Technology Data Exchange (ETDEWEB)

    Shimomoto, Kazuma; Ueno, Kohei [Institute of Industrial Science, University of Tokyo (Japan); Kobayashi, Atsushi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Department of Applied Chemistry, University of Tokyo (Japan); Ohta, Jitsuo [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi [Mitsubishi Chemical Group, Science and Technology Research Center, Higashi-Mamiana, Ushiku-shi, Ibaraki (Japan)

    2009-05-15

    The authors have grown high-quality m -plane In{sub 0.36}Ga{sub 0.64}N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In{sub 0.36}Ga{sub 0.64}N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    International Nuclear Information System (INIS)

    Shimomoto, Kazuma; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Oshima, Masaharu; Fujioka, Hiroshi; Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi

    2009-01-01

    The authors have grown high-quality m -plane In 0.36 Ga 0.64 N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In 0.36 Ga 0.64 N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. A comparative study on magnetism in Zn-doped AlN and GaN from first-principles

    International Nuclear Information System (INIS)

    Xu, Liang; Wang, Lingling; Huang, Weiqing; Xiao, Wenzhi; Xiao, Gang

    2014-01-01

    First-principles calculations have been used to comparatively investigate electronic and magnetic properties of Zn-doped AlN and GaN. A total magnetic moment of 1.0 μ B induced by Zn is found in AlN, but not in GaN. Analyses show that the origin of spontaneous polarization not only depend on the localized atomic orbitals of N and sufficient hole concentration, but also the relative intensity of the covalency of matrix. The relatively stronger covalent character of GaN with respect to AlN impedes forming local magnetic moment in GaN matrix. Our study offers a fresh sight of spontaneous spin polarization in d 0 magnetism. The much stronger ferromagnetic coupling in c-plane of AlN means that it is feasible to realize long-range ferromagnetic order via monolayer delta-doping. This can apply to other wide band-gap semiconductors in wurtzite structure.

  8. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  9. Magnetic properties of in-plane oriented barium hexaferrite thin films prepared by direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xiaozhi; Yue, Zhenxing, E-mail: yuezhx@mail.tsinghua.edu.cn; Meng, Siqin; Yuan, Lixin [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)

    2014-12-28

    In-plane c-axis oriented Ba-hexaferrite (BaM) thin films were prepared on a-plane (112{sup ¯}0) sapphire (Al{sub 2}O{sub 3}) substrates by DC magnetron sputtering followed by ex-situ annealing. The DC magnetron sputtering was demonstrated to have obvious advantages over the traditionally used RF magnetron sputtering in sputtering rate and operation simplicity. The sputtering power had a remarkable influence on the Ba/Fe ratio, the hematite secondary phase, and the grain morphology of the as-prepared BaM films. Under 80 W of sputtering power, in-plane c-axis highly oriented BaM films were obtained. These films had strong magnetic anisotropy with high hysteresis loop squareness (M{sub r}/M{sub s} of 0.96) along the in-plane easy axis and low M{sub r}/M{sub s} of 0.03 along the in-plane hard axis. X-ray diffraction patterns and pole figures revealed that the oriented BaM films grew via an epitaxy-like growth process with the crystallographic relationship BaM (101{sup ¯}0)//α-Fe{sub 2}O{sub 3}(112{sup ¯}0)//Al{sub 2}O{sub 3}(112{sup ¯}0)

  10. ''Cube-on-hexagon'' orientation relationship for Fe on GaN(0001): The missing link in bcc/hcp epitaxy

    International Nuclear Information System (INIS)

    Gao Cunxu; Brandt, Oliver; Laehnemann, Jonas; Jahn, Uwe; Jenichen, Bernd; Schoenherr, Hans-Peter; Erwin, Steven C.

    2010-01-01

    We investigate, experimentally and theoretically, the epitaxy of body-centered-cubic Fe on hexagonal GaN. For growth on the Ga-polar GaN(0001) surface we find the well-known Pitsch-Schrader orientation relationship between Fe and GaN. On the N-polar GaN(0001) surface we observe coexistence between the familiar Burgers orientation and a new orientation in which the Fe(001) plane is parallel to GaN(0001). This 'cube-on-hexagon' orientation constitutes the high-symmetry link required for constructing a symmetry diagram for bcc/hcp systems in which all orientation relationships are connected by simple rotations.

  11. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  12. Optical Properties and Lasing in GaN

    National Research Council Canada - National Science Library

    Song, J

    2001-01-01

    .... In the second article. femtosecond pump-probe transmission spectroscopy was used to study the nonequilibrium carrier dynamics in a GaN thin film at 10 K with carrier densities ranging from 4 x 10(exp 17) to 10(exp 19)/cu cm...

  13. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  14. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  15. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  16. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  18. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  19. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  20. Polarization of stacking fault related luminescence in GaN nanorods

    Directory of Open Access Journals (Sweden)

    G. Pozina

    2017-01-01

    Full Text Available Linear polarization properties of light emission are presented for GaN nanorods (NRs grown along [0001] direction on Si(111 substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL measured at low temperature for a single NR demonstrated an excitonic line at ∼3.48 eV and the stacking faults (SFs related transition at ∼3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  1. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  2. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  3. In-Plane Impedance Spectroscopy measurements in Vanadium Dioxide thin films

    Science.gov (United States)

    Ramirez, Juan; Patino, Edgar; Schmidt, Rainer; Sharoni, Amos; Gomez, Maria; Schuller, Ivan

    2012-02-01

    In plane Impedance Spectroscopy measurements have been done in Vanadium Dioxide thin films in the range of 100 Hz to 1 MHz. Our measurements allows distinguishing between the resistive and capacitive response of the Vanadium Dioxide films across the metal-insulator transition. A non ideal RC behavior was found in our thin films from room temperature up to 334 K. Around the MIT, an increase of the total capacitance is observed. A capacitor-network model is able to reproduce the capacitance changes across the MIT. Above the MIT, the system behaves like a metal as expected, and a modified equivalent circuit is necessary to describe the impedance data adequately.

  4. Polarized photoluminescence excitation spectroscopy of a-plane InGaN/GaN multiple quantum wells grown on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Kundys, D., E-mail: dmytro.kundys@manchester.ac.uk; Sutherland, D.; Badcock, T. J.; Dawson, P. [School of Physics and Astronomy, Photon Science Institute, University of Manchester, Manchester M13 9PL (United Kingdom); Schulz, S. [Photonics Theory group, Tyndall National Institute, Lee Maltings, Cork (Ireland); Oehler, F.; Kappers, M. J.; Oliver, R. A.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, CB3 0FS (United Kingdom)

    2014-03-21

    We have performed a detailed study of the impact of basal plane stacking faults (BSFs) on the optical properties of both a-plane InGaN/GaN quantum wells (QWs) and GaN template samples grown on r-sapphire. In particular, we have used polarised photoluminescence excitation spectroscopy (P-PLE) to investigate the nature of the low temperature recombination as well as extracting information on the valence band (VB) polarisation anisotropy. Our low temperature P-PLE results revealed not only excitons associated with intersubband quantum well transitions and the GaN barrier material but also a transition associated with creation of excitons in BSFs. The strength of this BSF transition varied with detection energy across the quantum well emission suggesting that there is a significant contribution to the emission line width from changes in the local electronic environment of the QWs due to interactions with BSFs. Furthermore, we observed a corresponding progressive increase in the VB splitting of the QWs as the detection energy was varied across the quantum well emission spectrum.

  5. Studies on electronic structure of GaN(0001) surface

    CERN Document Server

    Xie Chang Kun; Xu Fa Qiang; Deng Rui; Liu Feng; Yibulaxin, K

    2002-01-01

    An electronic structure investigation on GaN(0001) is reported. The authors employ a full-potential linearized augmented plane-wave (FPLAPW) approach to calculate the partial density of state, which is in agreement with previous experimental results. The effects of the Ga3d semi-core levels on the electronic structure of GaN are discussed. The valence-electronic structure of the wurtzite GaN(0001) surface is investigated using synchrotron radiation excited angle-resolved photoemission spectroscopy. The bulk bands dispersion along GAMMA A direction in the Brillouin zones is measured using normal-emission spectra by changing photon-energy. The band structure derived from authors' experimental data is compared well with the results of authors' FPLAPW calculation. Furthermore, off-normal emission spectra are also measured along the GAMMA K and GAMMA M directions. Two surface states are identified, and their dispersions are characterized

  6. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  7. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  8. Propagation of magnetostatic spin waves in an yttrium iron garnet film for out-of-plane magnetic fields

    Science.gov (United States)

    Bang, Wonbae; Lim, Jinho; Trossman, Jonathan; Tsai, C. C.; Ketterson, John B.

    2018-06-01

    We have observed the propagation of spin waves across a thin yttrium iron garnet film on (1 1 1) gadolinium gallium garnet for magnetic fields inclined with respect to the film plane. Two principle planes were studied: that for H in the plane defined by the wave vector k and the plane normal, n, with limiting forms corresponding to the Backward Volume and Forward Volume modes, and that for H in the plane perpendicular to k, with limiting forms corresponding to the Damon-Eshbach and Forward Volume modes. By exciting the wave at one edge of the film and observing the field dependence of the phase of the received signal at the opposing edge we determined the frequency vs. wavevector relation, ω = ω (k), of various propagating modes in the film. Avoided crossings are observed in the Damon-Eshbach and Forward Volume regimes when the propagating mode intersects the higher, exchange split, volume modes, leading to an extinction of the propagating mode; analysis of the resulting behavior allows a determination of the exchange parameter. The experimental results are compared with theoretical simulations.

  9. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    Science.gov (United States)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  10. Wedge-Shaped GaN Nanowalls: A Potential Candidate for Two-Dimensional Electronics and Spintronics

    Science.gov (United States)

    Deb, Swarup; Dhar, Subhabrata

    Schrödingerand Poisson equations are solved self-consistently in order to obtain the potential and charge density distribution in n-type GaN nanowalls tapered along c-axis by different angles. The study shows two-dimensional (2D) quantum confinement of electrons in the central vertical plane of the wall for the entire range of tapering. Calculation of room temperature electron mobility in the 2D channel shows a steady decrease with the increase of the inclination angle of the side facets with respect to the base. However, it is interesting to note that the mobility remains to be much larger than that of bulk GaN even for the inclination angle of 65∘. The properties of high mobility and the vertical orientation of the 2DEG plane in this system can be exploited in fabricating highly conducting transparent interconnects and field effect transistors, which can lead to large scale integration of 2D devices in future.

  11. Texture change through film thickness and off-axis accommodation of (0 0 2) planes

    International Nuclear Information System (INIS)

    Shetty, A.R.; Karimi, A.

    2011-01-01

    We present our recent experimental results on the formation of off-axis texture and crystallographic tilting of crystallites that take place in thin film of transition metal nitrides. For this purpose, the microstructural development of TiAlN film was studied, specially the change in texture with film thickness. Fiber texture was measured using θ-2θ and pole figure X-ray diffraction (XRD), while scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to observe the microstructure and changes in texture with thickness. The sin 2 ψ method was applied to determine the stresses on (1 1 1) and (0 0 2) plane. With deposition parameters chosen, the growth texture mechanism is discussed in three different stages of film growth. Surface energy minimization at low thickness leads to the development of (0 0 2) orientation. On the other hand, the competitive growth promotes the growth of (1 1 1) planes parallel to film surface at higher thickness. However, contrary to the prediction of growth models, the (0 0 2) grains are not completely overlapped by (1 1 1) grains at higher thickness. Rather the (0 0 2) grains still constitute the surface, but are tilted away from the substrate normal showing substantial in-plane alignment to allow the (1 1 1) planes remain parallel to film surface. Intrinsic stress along (1 1 1) and (0 0 2) shows a strong dependence with preferred orientation. The stress level in (0 0 2) grains which was compressive at low thickness changes to tensile at higher thickness. This change in the nature of stress allows the (0 0 2) planes to tilt away in order to promote the growth of 〈1 1 1〉 parallel to film normal and to minimize the overall energy of system due to high compressive stress stored in the (1 1 1) grains. The change in surface morphology with thickness was observed using SEM. An increase in surface roughness with film thickness was observed which indicates the development of (1 1 1) texture parallel to film

  12. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    Science.gov (United States)

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  13. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  14. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  15. Polycrystalline Ba0.6Sr0.4TiO3 thin films on r-plane sapphire: Effect of film thickness on strain and dielectric properties

    Science.gov (United States)

    Fardin, E. A.; Holland, A. S.; Ghorbani, K.; Akdogan, E. K.; Simon, W. K.; Safari, A.; Wang, J. Y.

    2006-10-01

    Polycrystalline Ba0.6Sr0.4TiO3 (BST) films grown on r-plane sapphire exhibit strong variation of in-plane strain over the thickness range of 25-400nm. At a critical thickness of ˜200nm, the films are strain relieved; in thinner films, the strain is tensile, while compressive strain was observed in the 400nm film. Microwave properties of the films were measured from 1to20GHz by the interdigital capacitor method. A capacitance tunability of 64% was observed in the 200nm film, while thinner films showed improved Q factor. These results demonstrate the possibility of incorporating frequency agile BST-based devices into the silicon on sapphire process.

  16. Equations governing the liquid-film flow over a plane with heat flux and interfacial phase change

    International Nuclear Information System (INIS)

    Spindler, B.

    1983-01-01

    The purpose of the study is to find a system of equations which can be used to study the linear stability of a liquid film flow over a plane exhibiting wall heat flux and interfacial phase change. The flow of such a film is governed by four groups of equations: the equations for mass balance, momentum and energy in the liquid; equations for the balance in the steam; equations for the balance at the liquid-steam interface; and the boundary conditions. Two flow patterns are considered - flow with upstream film and film condensation. Stability is studied by perturbation methods

  17. Equations governing the liquid-film flow over a plane with heat flux and interfacial phase change

    Science.gov (United States)

    Spindler, B.

    1983-08-01

    The purpose of the study is to find a system of equations which can be used to study the linear stability of a liquid film flow over a plane exhibiting wall heat flux and interfacial phase change. The flow of such a film is governed by four groups of equations: the equations for mass balance, momentum and energy in the liquid; equations for the balance in the steam; equations for the balance at the liquid-steam interface; and the boundary conditions. Two flow patterns are considered - flow with upstream film and film condensation. Stability is studied by perturbation methods.

  18. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    Science.gov (United States)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  19. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  20. Adsorption induced modification of in-plane magnetic anisotropy in epitaxial Co and Fe/Co films on Fe(110)

    Science.gov (United States)

    Ślezak, M.; Ślezak, T.; Matlak, K.; DróŻdŻ, P.; Korecki, J.

    2018-05-01

    A study of in-plane magnetic anisotropy (MA) in epitaxial bcc Co films and Fe/Co bilayers on a Fe(110) surface is reported. Surface MA of as-deposited Co films and Fe/Co bilayers strongly depends on the Co (dCo) and Fe (dFe) thickness. Adsorption of residual gases drastically modifies in-plane MA of both Co films and Fe/Co bilayers. We present two dimensional MA maps in the (dCo, dFe) space for both as grown and adsorption-modified films. Our results indicate how to precisely engineer in-plane MA that can be controlled by dCo, dFe and is sensitive to the residual gas adsorption.

  1. Multi-layer film flow down an inclined plane: experimental investigation

    KAUST Repository

    Henry, Daniel

    2014-11-19

    We report the results from an experimental study of the flow of a film down an inclined plane where the film itself is comprised of up to three layers of different liquids. By measuring the total film thickness for a broad range of parameters including flow rates and liquid physical properties, we provide a thorough and systematic test of the single-layer approximation for multi-layer films for Reynolds numbers Re = ρQ/μ≈0.03-60. In addition, we also measure the change in film thickness of individual layers as a function of flow rates for a variety of experimental configurations. With the aid of high-speed particle tracking, we derive the velocity fields and free-surface velocities to compare to the single-layer approximation. Furthermore, we provide experimental evidence of small capillary ridge formations close to the point where two layers merge and compare our experimental parameter range for the occurrence of this phenomenon to those previously reported.

  2. Study on the influence of annealing effects in GaN VPE

    International Nuclear Information System (INIS)

    Furtado, M.

    1983-06-01

    The effects of annealing that occur during VPE growth of GaN were investigated. GaN powder (and epilayers) samples were annealed in Ar, N 2 , H 2 , NH 3 , HC1 + N 2 and HC1 + H 2 (N 2 , H 2 and HC1 + N 2 ), respectively; under a range of experimental conditions of interest for preparing electroluminescent devices. Good surface appearence Zn doped epilayers were also used under N 2 in order to investigate surface morphology changes due to thermal decomposition. It was found that GaN reacts with H 2 , remains stable under NH 3 , and the effects of thermal decomposition are somewhat enhanced with HC1. The epilayers' behaviour under thermal decomposition and HC1 are interpreted by the greater stability of the (0001) crystal plane, which accounts for the improvement of the surface quality under special growth conditions. Significant observations are reported concerning GaN decomposition in different ambients [pt

  3. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  4. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  5. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  6. Selective area growth of GaN rod structures by MOVPE: Dependence on growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Wang, Xue; Erenburg, Milena; Al-Suleiman, Mohamed Aid Mansur; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Strassburg, Martin [Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2011-07-15

    Selective area growth of GaN nanorods by metalorganic vapor phase epitaxy is highly demanding for novel applications in nano-optoelectronic and nanophotonics. Recently, we report the successful selective area growth of GaN nanorods in a continuous-flow mode. In this work, as examples, we show the morphology dependence of GaN rods with {mu}m or sub-{mu}m in diameters on growth conditions. Firstly, we found that the nitridation time is critical for the growth, with an optimum from 90 to 180 seconds. This leads to more homogeneous N-polar GaN rods growth. A higher temperature during GaN rod growth tends to increase the aspect ratio of the GaN rods. This is due to the enhanced surface diffusion of growth species. The V/III ratio is also an important parameter for the GaN rod growth. Its increase causes reduction of the aspect ratio of GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface than it on {l_brace}1-100{r_brace} m-planes by supplying more NH{sub 3} (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces

    International Nuclear Information System (INIS)

    Krueger, David

    2009-01-01

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  8. A study of photoluminescence and micro-Raman scattering in C-implanted GaN

    International Nuclear Information System (INIS)

    Zhang Limin; Zhang Xiaodong; Liu Zhengmin

    2010-01-01

    GaN samples (no yellow luminescence) in their as-grown states were implanted with 10 13 -10 17 C ions/cm 2 and studied by photoluminescence spectra and micro-Raman scattering spectra. The photoluminescence study showed that yellow luminescence were produced in the C-implanted GaN after 950 degree C annealing, and the peaks of the near band edge emissions showed blue-shifts after C implantation. The Raman measurements indicated that the stresses in GaN films did not change after C implantation. The samples implanted with 10 15 cm -2 carbon ions had the Raman peak at 300 cm -1 , which is associated to the disorder-activated Raman scattering. However, further increasing the implantation dose resulted decreased intensity of the 300 cm -1 peak, due to the ion beam current increase with the implantation dose. (authors)

  9. The importance of structural inhomogeneity in GaN thin films

    Science.gov (United States)

    Liliental-Weber, Z.; Reis, Roberto dos; Weyher, Jan L.; Staszczak, Grzegorz; Jakieła, Rafał

    2016-12-01

    This paper describes two types of MOCVD-grown n-type GaN layers (Samples A and B) with similar carrier concentration but behaved differently under galvanic photo-etching. In order to understand this behavior, Transmission Electron Microscopy (TEM) for cross-section and plan-view samples, Secondary Ion Mass Spectroscopy (SIMS) and photoluminescence (PL) techniques were applied. SIMS studies showed that Si, C and O are approximately at the same concentration in both samples, but Sample B also contained Fe and Mg. Both GaN samples were grown on sapphire substrate with Ga growth polarity, which was confirmed by Convergent Beam Electron Diffraction (CBED). Despite a smaller layer thickness in Sample B, the density of edge dislocations is almost one order of magnitude lower than in Sample A. In addition, planar defects formed in this sample in the transition area between the undoped buffer and Si doped layers resulted in a substantial decrease in the density of screw dislocations at the sample surface. These planar defects most probably gave rise to the PL lines observed at 3.42 eV and 3.32 eV. The new PL lines that only appeared in Sample B might be related to Mg impurities found in this sample. There were no detectable gettering of these impurities at dislocations using different diffraction conditions. However, Fe rich platelets were found only in Sample B due to the presence of Fe as well as hexagonal features, similar to defects reported earlier in highly Mg-doped GaN. These structural and chemical non-uniformities between the two GaN samples can explain their different etching behaviors. This paper demonstrates that samples with similar carrier concentrations do not necessarily ensure similar structural and optical properties and that additional material characterization are needed to ensure that devices built on such samples have similar performance.

  10. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  11. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  12. Topological Insulator State in Thin Bismuth Films Subjected to Plane Tensile Strain

    Science.gov (United States)

    Demidov, E. V.; Grabov, V. M.; Komarov, V. A.; Kablukova, N. S.; Krushel'nitskii, A. N.

    2018-03-01

    The results of experimental examination of galvanomagnetic properties of thin bismuth films subjected to plane tensile strain resulting from the difference in thermal expansion coefficients of the substrate material and bismuth are presented. The resistivity, the magnetoresistance, and the Hall coefficient were studied at temperatures ranging from 5 to 300 K in magnetic fields as strong as 0.65 T. Carrier densities were calculated. A considerable increase in carrier density in films thinner than 30 nm was observed. This suggests that surface states are more prominent in thin bismuth films on mica substrates, while the films themselves may exhibit the properties of a topological insulator.

  13. Investigation of the in-plane and out-of-plane electrical properties of metallic nanoparticles in dielectric matrix thin films elaborated by atomic layer deposition

    Science.gov (United States)

    Thomas, D.; Puyoo, E.; Le Berre, M.; Militaru, L.; Koneti, S.; Malchère, A.; Epicier, T.; Roiban, L.; Albertini, D.; Sabac, A.; Calmon, F.

    2017-11-01

    Pt nanoparticles in a Al2O3 dielectric matrix thin films are elaborated by means of atomic layer deposition. These nanostructured thin films are integrated in vertical and planar test structures in order to assess both their in-plane and out-of-plane electrical properties. A shadow edge evaporation process is used to develop planar devices with electrode separation distances in the range of 30 nm. Both vertical and planar test structures show a Poole-Frenkel conduction mechanism. Low trap energy levels (<0.1 eV) are identified for the two test structures which indicates that the Pt islands themselves are not acting as traps in the PF mechanism. Furthermore, a more than three order of magnitude current density difference is observed between the two geometries. This electrical anisotropy is attributed to a large electron mobility difference in the in-plane and out-of-plane directions which can be related to different trap distributions in both directions.

  14. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  16. Identification of deep levels in GaN associated with dislocations

    International Nuclear Information System (INIS)

    Soh, C B; Chua, S J; Lim, H F; Chi, D Z; Liu, W; Tripathy, S

    2004-01-01

    To establish a correlation between dislocations and deep levels in GaN, a deep-level transient spectroscopy study has been carried out on GaN samples grown by metalorganic chemical vapour deposition. In addition to typical undoped and Si-doped GaN samples, high-quality crack-free undoped GaN film grown intentionally on heavily doped cracked Si-doped GaN and cracked AlGaN templates are also chosen for this study. The purpose of growth of such continuous GaN layers on top of the cracked templates is to reduce the screw dislocation density by an order of magnitude. Deep levels in these layers have been characterized and compared with emphasis on their thermal stabilities and capture kinetics. Three electron traps at E c -E T ∼0.10-0.11, 0.24-0.27 and 0.59-0.63 eV are detected common to all the samples while additional levels at E c -E T ∼0.18 and 0.37-0.40 eV are also observed in the Si-doped GaN. The trap levels exhibit considerably different stabilities under rapid thermal annealing. Based on the observations, the trap levels at E c -E T ∼0.18 and 0.24-0.27 eV can be associated with screw dislocations, whereas the level at E c -E T ∼0.59-0.63 eV can be associated with edge dislocations. This is also in agreement with the transmission electron microscopy measurements conducted on the GaN samples

  17. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  18. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  19. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    Science.gov (United States)

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  20. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  1. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  2. Dislocation core structures in Si-doped GaN

    International Nuclear Information System (INIS)

    Rhode, S. L.; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J.; Horton, M. K.; Pennycook, T. J.; Dusane, R. O.; Moram, M. A.

    2015-01-01

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10 8  and (10 ± 1) × 10 9  cm −2 . All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN

  3. Dislocation core structures in Si-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rhode, S. L., E-mail: srhode@imperial.ac.uk; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Horton, M. K. [Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Pennycook, T. J. [SuperSTEM, STFC Daresbury Laboratories, Warrington WA4 4AD (United Kingdom); Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Dusane, R. O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Mumbai 400076 (India); Moram, M. A. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom)

    2015-12-14

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10{sup 8} and (10 ± 1) × 10{sup 9} cm{sup −2}. All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN.

  4. Strain-mediated electronic properties of pristine and Mn-doped GaN monolayers

    Science.gov (United States)

    Sharma, Venus; Srivastava, Sunita

    2018-04-01

    Graphene-like two-dimensional (2D) monolayer structures GaN has gained enormous amount of interest due to high thermal stability and inherent energy band gap for practical applications. First principles calculations are performed to investigate the electronic structure and strain-mediated electronic properties of pristine and Mn-doped GaN monolayer. Binding energy of Mn dopant at various adsorption site is found to be nearly same indicating these sites to be equally favorable for adsorption of foreign atom. Depending on the adsorption site, GaN monolayer can act as p-type or n-type magnetic semiconductor. The tensile strength of both pristine and doped GaN monolayer (∼24 GPa) at ultimate tensile strain of 34% is comparable with the tensile strength of graphene. The in-plane biaxial strain modulate the energy band gap of both pristine and doped-monolayer from direct to indirect gap semiconductor and finally retendered theme into metal at critical value of applied strain. These characteristics make GaN monolayer to be potential candidate for the future applications in tunable optoelectronics.

  5. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  6. Electronic structure and optical properties of Al and Mg co-doped GaN

    International Nuclear Information System (INIS)

    Ji Yan-Jun; Du Yu-Jie; Wang Mei-Shan

    2013-01-01

    The electronic structure and optical properties of Al and Mg co-doped GaN are calculated from first principles using density function theory with the plane-wave ultrasoft pseudopotential method. The results show that the optimal form of p-type GaN is obtained with an appropriate Al:Mg co-doping ratio rather than with only Mg doping. Al doping weakens the interaction between Ga and N, resulting in the Ga 4s states moving to a high energy region and the system band gap widening. The optical properties of the co-doped system are calculated and compared with those of undoped GaN. The dielectric function of the co-doped system is anisotropic in the low energy region. The static refractive index and reflectivity increase, and absorption coefficient decreases. This provides the theoretical foundation for the design and application of Al—Mg co-doped GaN photoelectric materials

  7. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  8. Photoluminescence enhancement from GaN by beryllium doping

    Science.gov (United States)

    García-Gutiérrez, R.; Ramos-Carrazco, A.; Berman-Mendoza, D.; Hirata, G. A.; Contreras, O. E.; Barboza-Flores, M.

    2016-10-01

    High quality Be-doped (Be = 0.19 at.%) GaN powder has been grown by reacting high purity Ga diluted alloys (Be-Ga) with ultra high purity ammonia in a horizontal quartz tube reactor at 1200 °C. An initial low-temperature treatment to dissolve ammonia into the Ga melt produced GaN powders with 100% reaction efficiency. Doping was achieved by dissolving beryllium into the gallium metal. The powders synthesized by this method regularly consist of two particle size distributions: large hollow columns with lengths between 5 and 10 μm and small platelets in a range of diameters among 1 and 3 μm. The GaN:Be powders present a high quality polycrystalline profile with preferential growth on the [10 1 bar 1] plane, observed by means of X-ray diffraction. The three characteristics growth planes of the GaN crystalline phase were found by using high resolution TEM microscopy. The optical enhancing of the emission in the GaN powder is attributed to defects created with the beryllium doping. The room temperature photoluminescence emission spectra of GaN:Be powders, revealed the presence of beryllium on a shoulder peak at 3.39 eV and an unusual Y6 emission at 3.32eV related to surface donor-acceptor pairs. Also, a donor-acceptor-pair transition at 3.17 eV and a phonon replica transition at 3.1 eV were observed at low temperature (10 K). The well-known yellow luminescence band coming from defects was observed in both spectra at room and low temperature. Cathodoluminescence emission from GaN:Be powders presents two main peaks associated with an ultraviolet band emission and the yellow emission known from defects. To study the trapping levels related with the defects formed in the GaN:Be, thermoluminescence glow curves were obtained using UV and β radiation in the range of 50 and 150 °C.

  9. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  10. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  11. The dependence of Raman scattering on Mg concentration in Mg-doped GaN grown by MBE

    International Nuclear Information System (INIS)

    Flynn, Chris; Lee, William

    2014-01-01

    Magnesium-doped GaN (GaN:Mg) films having Mg concentrations in the range 5 × 10 18 –5 × 10 20 cm −3 were fabricated by molecular beam epitaxy. Raman spectroscopy was employed to study the effects of Mg incorporation on the positions of the E 2 and A 1 (LO) lines identifiable in the Raman spectra. For Mg concentrations in excess of 2 × 10 19 cm −3 , increases in the Mg concentration shift both lines to higher wave numbers. The shifts of the Raman lines reveal a trend towards compressive stress induced by incorporation of Mg into the GaN films. The observed correlation between the Mg concentration and the Raman line positions establish Raman spectroscopy as a useful tool for optimizing growth of Mg-doped GaN. (papers)

  12. Vacancy complexes induce long-range ferromagnetism in GaN

    KAUST Repository

    Zhang, Zhenkui

    2014-11-14

    By means of density functional theory, we argue that ferromagnetism in GaN can be induced by vacancy complexes. Spin polarization originates from the charge compensation between neutral N and Ga vacancies. Defect formation energy calculations predict that a vacancy complex of two positively charged N vacancies and one doubly negative Ga vacancy is likely to form. This defect complex induces a net moment of 1 μB, which is localized around the negative Ga center and exhibits pronounced in-plane ferromagnetic coupling. In contrast to simple Ga vacancy induced ferromagnetism, the proposed picture is in line with the fact that N vacancies have a low formation energy. Formation energies indicate mutual stabilization of the intrinsic defects in GaN.

  13. Vacancy complexes induce long-range ferromagnetism in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhenkui; Schwingenschlögl, Udo, E-mail: Udo.Schwingenschlogl@kaust.edu.sa, E-mail: Iman.Roqan@kaust.edu.sa; Roqan, Iman S., E-mail: Udo.Schwingenschlogl@kaust.edu.sa, E-mail: Iman.Roqan@kaust.edu.sa [Physical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900 (Saudi Arabia)

    2014-11-14

    By means of density functional theory, we argue that ferromagnetism in GaN can be induced by vacancy complexes. Spin polarization originates from the charge compensation between neutral N and Ga vacancies. Defect formation energy calculations predict that a vacancy complex of two positively charged N vacancies and one doubly negative Ga vacancy is likely to form. This defect complex induces a net moment of 1 μ{sub B}, which is localized around the negative Ga center and exhibits pronounced in-plane ferromagnetic coupling. In contrast to simple Ga vacancy induced ferromagnetism, the proposed picture is in line with the fact that N vacancies have a low formation energy. Formation energies indicate mutual stabilization of the intrinsic defects in GaN.

  14. Vacancy complexes induce long-range ferromagnetism in GaN

    KAUST Repository

    Zhang, Zhenkui; Schwingenschlö gl, Udo; Roqan, Iman S.

    2014-01-01

    By means of density functional theory, we argue that ferromagnetism in GaN can be induced by vacancy complexes. Spin polarization originates from the charge compensation between neutral N and Ga vacancies. Defect formation energy calculations predict that a vacancy complex of two positively charged N vacancies and one doubly negative Ga vacancy is likely to form. This defect complex induces a net moment of 1 μB, which is localized around the negative Ga center and exhibits pronounced in-plane ferromagnetic coupling. In contrast to simple Ga vacancy induced ferromagnetism, the proposed picture is in line with the fact that N vacancies have a low formation energy. Formation energies indicate mutual stabilization of the intrinsic defects in GaN.

  15. Fabrication and Characterization of Mg-Doped GaN Nanowires

    International Nuclear Information System (INIS)

    Dong-Dong, Zhang; Cheng-Shan, Xue; Hui-Zhao, Zhuang; Ying-Long, Huang; Zou-Ping, Wang; Ying, Wang; Yong-Fu, Guo

    2008-01-01

    Mg-doped GaN nanowires have been synthesized by ammoniating Ga 2 O 3 films doped with Mg under flowing ammonia atmosphere at 850° C. The Mg-doped GaN nanowires are characterized by x-ray diffraction (XRD), scanning electron microscope (SEM), high-resolution transmission electron microscopy (HRTEM) and photo-luminescence (PL). The results demonstrate that the nanowires are single crystalline with hexagonal wurzite structure. The diameters of the nanowires are 20–30 nm and the lengths are 50–100 μm. The GaN nanowires show three emission bands with well-defined PL peak at 3.45 eV, 3.26 eV, 2.95 eV, respectively. The large distinct blueshift of the bandgap emission can be attributed to the Burstein–Moss effect. The peak at 3.26 eV represents the transition from the conduction-band edge to the acceptor level AM (acceptor Mg). The growth mechanism of crystalline GaN nanowires is discussed briefly. (cross-disciplinary physics and related areas of science and technology)

  16. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  17. A dissociation mechanism for the [a+c] dislocation in GaN

    International Nuclear Information System (INIS)

    Nellist, P D; Hirsch, P B; Lozano, J G; Rhode, S; Zhang, S; Kappers, M J; Humphreys, C J; Horton, M K; Moram, M A; Yasuhara, A; Okunishi, E; Sahonta, S-L

    2014-01-01

    Mixed-type [a+c] dislocations can be identified in atomic-resolution high-angle annular dark-field scanning transmission electron microscope images of GaN viewed along [0001] by use of a Burgers loop analysis and by observation of the depth-dependent displacements associated with the Eshelby twist. These dislocations are found to be able to dissociate resulting in a fault that lies perpendicular to the dislocation glide plane. Consideration of the bonding that occurs in such a fault allows the dissociation reaction to be proposed, and the proposed fault agrees with the experimental images when kinks are incorporated into the model

  18. Mechanical responses of a-axis GaN nanowires under axial loads

    Science.gov (United States)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.

  19. Effects of Mg Doping on the Performance of InGaN Films Made by Reactive Sputtering

    Science.gov (United States)

    Kuo, Dong-Hau; Li, Cheng-Che; Tuan, Thi Tran Anh; Yen, Wei-Chun

    2015-01-01

    Mg-doped InGaN (Mg-InGaN) films have been deposited directly on Si (100) substrates by radio-frequency reactive sputtering technique with single cermet targets in an Ar/N2 atmosphere. The cermet targets with a constant 5% indium content were made by hot pressing the mixture of metallic In, Ga, and Mg powders and ceramic GaN powder. The Mg-InGaN films had a wurtzite structure with a preferential () growth plane. The SEM images showed that Mg-InGaN films were smooth, continuous, free from cracks and holes, and composed of nanometer-sized grains. As the Mg dopant content in Mg-InGaN increased to 7.7 at.%, the film was directly transformed into p-type conduction without a post-annealing process. It had high hole concentration of 5.53 × 1018 cm-3 and electrical mobility of 15.7 ± 4.2 cm2 V-1 s-1. The over-doping of Mg in InGaN degraded the electrical properties. The bandgap of Mg-InGaN films decreased from 2.92 eV to 2.84 eV, as the Mg content increased from 7.7% to 18.2%. The constructed p-type Mg-InGaN/ n-type GaN diode was used to confirm the realization of the p-type InGaN by sputtering technique.

  20. Artificial in-plane ordering of textured YBa2Cu3O(7-x) films deposited on polycrystalline yttria-stabilized zirconia substrates

    Science.gov (United States)

    Harshavardhan, K. S.; Rajeswari, M.; Hwang, D. M.; Chen, C. Y.; Sands, T. D.; Venkatesan, T.; Tkaczyk, J. E.; Lay, K. W.; Safari, A.; Johnson, L.

    1992-12-01

    Anisotropic surface texturing of the polycrystalline yttria-stabilized zirconia substrates, prior to YBa2Cu3O(7-x) film deposition, is shown to promote in-plane (basal plane) ordering of the film growth in addition to the c-axis texturing. The Jc's of the films in the weak-link-dominated low-field regime are enhanced considerably, and this result is attributed to the reduction of weak links resulting from a reduction in the number of in-plane large-angle grain boundaries.

  1. Simulation of optimum parameters for GaN MSM UV photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Alhelfi, Mohanad A., E-mail: mhad12344@gmail.com; Ahmed, Naser M., E-mail: nas-tiji@yahoo.com; Hashim, M. R., E-mail: roslan@usm.my; Hassan, Z., E-mail: zai@usm.my [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia 11800 Penang (Malaysia); Al-Rawi, Ali Amer, E-mail: aliamer@unimap.edu.my [School of Computer and Communication Eng. 3st Floor, Pauh Putra Main Campus 02600 Arau, Perlis Malaysia (Malaysia)

    2016-07-06

    In this study the optimum parameters of GaN M-S-M photodetector are discussed. The evaluation of the photodetector depends on many parameters, the most of the important parameters the quality of the GaN film and others depend on the geometry of the interdigited electrode. In this simulation work using MATLAB software with consideration of the reflection and absorption on the metal contacts, a detailed study involving various electrode spacings (S) and widths (W) reveals conclusive results in device design. The optimum interelectrode design for interdigitated MSM-PD has been specified and evaluated by effect on quantum efficiency and responsivity.

  2. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  3. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  4. High electrical conductivity in out of plane direction of electrodeposited Bi2Te3 films

    Directory of Open Access Journals (Sweden)

    Miguel Muñoz Rojo

    2015-08-01

    Full Text Available The out of plane electrical conductivity of highly anisotropic Bi2Te3 films grown via electro-deposition process was determined using four probe current-voltage measurements performed on 4.6 - 7.2 μm thickness Bi2Te3 mesa structures with 80 - 120 μm diameters sandwiched between metallic film electrodes. A three-dimensional finite element model was used to predict the electric field distribution in the measured structures and take into account the non-uniform distribution of the current in the electrodes in the vicinity of the probes. The finite-element modeling shows that significant errors could arise in the measured film electrical conductivity if simpler one-dimensional models are employed. A high electrical conductivity of (3.2 ± 0.4 ⋅ 105 S/m is reported along the out of plane direction for Bi2Te3 films highly oriented in the [1 1 0] direction.

  5. Excitonic transitions in homoepitaxial GaN

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Criado, G.; Cros, A.; Cantarero, A. [Materials Science Inst. and Dept. of Applied Physics, Univ. of Valencia (Spain); Miskys, C.R.; Ambacher, O.; Stutzmann, M. [Technische Univ. Muenchen, Garching (Germany). Walter-Schottky-Inst. fuer Physikalische Grundlagen der Halbleiterelektronik

    2001-11-08

    The photoluminescence spectrum of a high quality homoepitaxial GaN film has been measured as a function of temperature. As temperature increases the recombination of free excitons dominates the spectra. Their energy shift has successfully fitted in that temperature range by means of the Bose-Einstein expression instead of Varshni's relationship. Values for the parameters of both semi-empirical relations describing the energy shift are reported and compared with the literature. (orig.)

  6. Through-plane uniformity of optical anisotropy in spin-coated biphenyl dianhydride-p-phenylenediamine films

    International Nuclear Information System (INIS)

    Diao Jie; Hess, Dennis W.

    2005-01-01

    The uniformity of the average refractive index and birefringence of poly-(biphenyl dianhydride-p-phenylenediamine) (BPDA-PDA) films has been investigated experimentally as a function of film thickness. Spin-cast and cured BPDA-PDA films were thinned sequentially by reactive ion etching and the dependence of average refractive index and birefringence on the post-thinned film thickness was determined using a prism wave-guide coupler. Negligible changes in the average refractive index and the birefringence were observed as a result of the thinning process. These results confirm previous assumptions that assert uniform optical anisotropy in the through-plane direction for spin-cast BPDA-PDA films

  7. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  8. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  9. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  10. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  11. Ambient-temperature diffusion and gettering of Pt atoms in GaN with surface defect region under 60Co gamma or MeV electron irradiation

    Science.gov (United States)

    Hou, Ruixiang; Li, Lei; Fang, Xin; Xie, Ziang; Li, Shuti; Song, Weidong; Huang, Rong; Zhang, Jicai; Huang, Zengli; Li, Qiangjie; Xu, Wanjing; Fu, Engang; Qin, G. G.

    2018-01-01

    Generally, the diffusion and gettering of impurities in GaN needs high temperature. Calculated with the ambient-temperature extrapolation value of the high temperature diffusivity of Pt atoms in GaN reported in literature, the time required for Pt atoms diffusing 1 nm in GaN at ambient temperature is about 19 years. Therefore, the ambient-temperature diffusion and gettering of Pt atoms in GaN can hardly be observed. In this work, the ambient-temperature diffusion and gettering of Pt atoms in GaN is reported for the first time. It is demonstrated by use of secondary ion mass spectroscopy that in the condition of introducing a defect region on the GaN film surface by plasma, and subsequently, irradiated by 60Co gamma-ray or 3 MeV electrons, the ambient-temperature diffusion and gettering of Pt atoms in GaN can be detected. It is more obvious with larger irradiation dose and higher plasma power. With a similar surface defect region, the ambient-temperature diffusion and gettering of Pt atoms in GaN stimulated by 3 MeV electron irradiation is more marked than that stimulated by gamma irradiation. The physical mechanism of ambient-temperature diffusion and gettering of Pt atoms in a GaN film with a surface defect region stimulated by gamma or MeV electron irradiation is discussed.

  12. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  13. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. In-plane thermal conductivity measurements of ZnO-, ZnS-, and YSZ thin-films on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hartung, David; Gather, Florian; Kronenberger, Achim; Kuhl, Florian; Meyer, Bruno K.; Klar, Peter J. [I. Physikalisches Institut, Justus-Liebig-University, Heinrich-Buff-Ring 16, 35392 Giessen (Germany)

    2012-07-01

    In this work we present in-plane thermal conductivity measurements of ZnO-, ZnS-, and YSZ thin-films. Borosilicate glass with a thickness of 50 microns and low thermal conductivity for improving the signal to noise ratio was used as substrate material. The above different films are deposited by rf-sputtering and have a thickness of about 1 micron. Our approach is a steady-state measurement. A wide metal wire on the film is used as a heater and two parallel lying narrow wires at distances of 100 microns and 200 microns from the heater wire, respectively, serve as the temperature sensors. The wire structure design is transfered on to the thin films by photolithography and metal evaporation. Measurements of the in-plane thermal conductivities of the above mentioned materials are presented and compared with corresponding results in the literature.

  15. Effect of the out-of-plane stress on the properties of epitaxial SrTiO3 films with nano-pillar array on Si-substrate

    Science.gov (United States)

    Bai, Gang; Xie, Qiyun; Liu, Zhiguo; Wu, Dongmei

    2015-08-01

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO3 films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO3 films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO3 films similar to PZT and other lead-based ferroelectrics can be expected.

  16. The role of alternating current in photo-assisted electrochemical porosification of GaN

    International Nuclear Information System (INIS)

    Ainorkhilah, Mahmood; Naser, M. Ahmed; Yushamdan, Yusof; Kwong, Yam Fong; Zainuriah, Hassan; Tiginyanu, Ion; Siang, Chuah Lee

    2013-01-01

    In this paper, we report the formation of porous GaN films under a novel alternating current (sine-wave a.c. (50 Hz)) photo-assisted electrochemical (ACPEC) etching conditions. The ACPEC formed porous GaN with excellent structural and surface morphology. Field emission scanning electron microscope (FESEM), atomic force microscopy (AFM) and high resolution X-ray diffraction (HR-XRD) phi-scan and rocking curves measurements evidenced important features of the pore morphology and nanostructures. According to the FESEM micrographs, the spatial nano architecture of the porous structures exhibits pores with perfect hexagonal shape. The AFM measurements revealed an increase in the surface roughness induced by porosification. X-ray diffraction phi-scan showed that porous GaN sample maintained the epitaxial. (authors)

  17. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  18. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  19. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  20. Growth and characterization of nonpolar (10-10) ZnO transparent conductive oxide on semipolar (11–22) GaN-based light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ki-Wook; Choi, Nak-Jung [Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, Gyeonggi-do, 429-839 (Korea, Republic of); Kim, Kyoung-Bo [Department of Metallurgical and Materials Engineering, Inha Technical College, Incheon, 402-752 (Korea, Republic of); Kim, Moojin [Department of Renewable Energy, Jungwon University, 85, Munmu-ro, Goesan-eup, Goesan-gun, Chungbuk, 367-805 (Korea, Republic of); Lee, Sung-Nam, E-mail: snlee@kpu.ac.kr [Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, Gyeonggi-do, 429-839 (Korea, Republic of)

    2016-05-05

    We have grown thin films of nonpolar m-plane (10-10) ZnO on a semipolar (11–22) GaN template by atomic layer deposition (ALD) at low growth temperatures (<200 °C). The surface morphology of the ZnO film is found to be an arrowhead-like structure, which is a typical surface structure of the semipolar (11–22) GaN films. On increasing the growth temperature of the ZnO films, the concentration and mobility of the charge carriers in the ZnO film are increased. However, the optical transmittance decreases with an increase in the growth temperature. Based on these results, we have fabricated semipolar (11–22) GaN-based light-emitting diodes (LEDs) with nonpolar m-plane ZnO film as a transparent conductive oxide (TCO) to improve the light extraction efficiency. In spite of a decrease in the optical transmittance, the operation voltage of semipolar (11–22) GaN-based LEDs is found to decrease with an increase in the growth temperature, which might be due to the improvements in the electrical properties and current spreading effect, resulting in an increase in the optical output power. - Highlights: • Polarity control of ZnO film grown in m-/c-sapphire and semipolar GaN template. • Achievement of high quality nonpolar m-plane ZnO flims on semipolar (11–22) GaN template. • The simultaneous improvements of carrier concentration and mobility in the nonpolar ZnO TCO flims. • Nonpolar ZnO TCO increases current spreading length and light output power of semipolar GaN-LED.

  1. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  2. Effect of fluence on the lattice site of implanted Er and implantation induced strain in GaN

    CERN Document Server

    Wahl, U; Decoster, S; Vantomme, A; Correi, J G

    2009-01-01

    A GaN thin film was implanted with 5 × 1014 cm−2 of 60 keV stable 166Er, followed by the implantation of 2 × 1013 cm−2 radioactive 167Tm (t1/2 = 9.3 d) and an annealing sequence up to 900 °C. The emission channeling (EC) technique was applied to assess the lattice location of Er following the Tm decay from the conversion electrons emitted by 167mEr, which showed that more than 50% of 167mEr occupies substitutional Ga sites. The results are briefly compared to a 167mEr lattice location experiment in a GaN sample not pre-implanted with 166Er. In addition, high-resolution X-ray diffraction (HRXRD) was used to characterize the perpendicular strain in the high-fluence implanted film. The HRXRD experiments showed that the Er implantation resulted in an increase of the c-axis lattice constant of the GaN film around 0.5–0.7%. The presence of significant disorder within the implanted region was corroborated by the fact that the EC patterns for off-normal directions exhibit a pronounced angular broadening of t...

  3. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  4. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  5. Raman investigation of lattice defects and stress induced in InP and GaN films by swift heavy ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Hu, P.P. [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China); University of Chinese Academy of Sciences (UCAS), Beijing 100049 (China); Liu, J., E-mail: J.Liu@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China); Zhang, S.X. [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China); University of Chinese Academy of Sciences (UCAS), Beijing 100049 (China); Maaz, K. [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China); Nanomaterials Research Group, Physics Division, PINSTECH, Nilore, 45650 Islamabad (Pakistan); Zeng, J. [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China); Guo, H. [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China); University of Chinese Academy of Sciences (UCAS), Beijing 100049 (China); Zhai, P.F.; Duan, J.L.; Sun, Y.M.; Hou, M.D. [Institute of Modern Physics, Chinese Academy of Sciences (CAS), Lanzhou 730000 (China)

    2016-04-01

    InP crystals and GaN films were irradiated by swift heavy ions {sup 86}Kr and {sup 209}Bi with kinetic energies of 25 and 9.5 MeV per nucleon and ion fluence in the range 5 × 10{sup 10} to 3.6 × 10{sup 12} ions/cm{sup 2}. The characteristic optical bands were studied by Raman spectroscopy to reveal the disorder and defects induced in the samples during the irradiation process. The crystallinity of InP and GaN was found to be deteriorated after irradiation by the swift heavy ions and resulted in the amorphous nature of the samples along the ion tracks. The amorphous tracks observed by transmission electron microscopy (TEM) images confirmed the formation of lattice defects. In typical F{sub 2}(LO) mode, in case of InP, the spectra shifted towards the lower wavenumbers with a maximum shift of 7.6 cm{sup −1} induced by 1030 MeV Bi ion irradiation. While in case of GaN, the typical E{sub 2}(high) mode shifted towards the higher wavenumbers, with maximum shift of 5.4 cm{sup −1} induced by 760 MeV Bi ion irradiation at ion fluence of 1 × 10{sup 12} ions/cm{sup 2}. The observed Raman shifts reveal the presence of lattice defects and disorder induced in the samples after irradiation by the swift heavy ions. This irradiation also generated lattice stress in the samples, which has been investigated and discussed in detail in this work.

  6. Effect of Surface Roughness on MHD Couple Stress Squeeze-Film Characteristics between a Sphere and a Porous Plane Surface

    Directory of Open Access Journals (Sweden)

    M. Rajashekar

    2012-01-01

    Full Text Available The combined effects of couple stress and surface roughness on the MHD squeeze-film lubrication between a sphere and a porous plane surface are analyzed, based upon the thin-film magnetohydrodynamic (MHD theory. Using Stoke’s theory to account for the couple stresses due to the microstructure additives and the Christensen’s stochastic method developed for hydrodynamic lubrication of rough surfaces derives the stochastic MHD Reynolds-type equation. The expressions for the mean MHD squeeze-film pressure, mean load-carrying capacity, and mean squeeze-film time are obtained. The results indicate that the couple stress fluid in the film region enhances the mean MHD squeeze-film pressure, load-carrying capacity, and squeeze-film time. The effect of roughness parameter is to increase (decrease the load-carrying capacity and lengthen the response time for azimuthal (radial roughness patterns as compared to the smooth case. Also, the effect of porous parameter is to decrease the load-carrying capacity and increase the squeeze-film time as compared to the solid case.

  7. Beyond conventional c-plane GaN-based light emitting diodes: A systematic exploration of LEDs on semi-polar orientations

    Science.gov (United States)

    Monavarian, Morteza

    Despite enormous efforts and investments, the efficiency of InGaN-based green and yellow-green light emitters remains relatively low, and that limits progress in developing full color display, laser diodes, and bright light sources for general lighting. The low efficiency of light emitting devices in the green-to-yellow spectral range, also known as the "Green Gap", is considered a global concern in the LED industry. The polar c-plane orientation of GaN, which is the mainstay in the LED industry, suffers from polarization-induced separation of electrons and hole wavefunctions (also known as the "quantum confined Stark effect") and low indium incorporation efficiency that are the two main factors that contribute to the Green Gap phenomenon. One possible approach that holds promise for a new generation of green and yellow light emitting devices with higher efficiency is the deployment of nonpolar and semi-polar crystallographic orientations of GaN to eliminate or mitigate polarization fields. In theory, the use of other GaN planes for light emitters could also enhance the efficiency of indium incorporation compared to c-plane. In this thesis, I present a systematic exploration of the suitable GaN orientation for future lighting technologies. First, in order to lay the groundwork for further studies, it is important to discuss the analysis of processes limiting LED efficiency and some novel designs of active regions to overcome these limitations. Afterwards, the choice of nonpolar orientations as an alternative is discussed. For nonpolar orientation, the (1100)-oriented (mo-plane) structures on patterned Si (112) and freestanding m-GaN are studied. The semi-polar orientations having substantially reduced polarization field are found to be more promising for light-emitting diodes (LEDs) owing to high indium incorporation efficiency predicted by theoretical studies. Thus, the semi-polar orientations are given close attention as alternatives for future LED technology

  8. Growth and characterization of Fe nanostructures on GaN

    International Nuclear Information System (INIS)

    Honda, Yuya; Hayakawa, Satoko; Hasegawa, Shigehiko; Asahi, Hajime

    2009-01-01

    We have investigated the growth of Fe nanostructures on GaN(0 0 0 1) substrates at room temperature using reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and superconducting quantum interference device magnetometer. Initially, a ring RHEED pattern appears, indicating the growth of polycrystalline α-Fe. At around 0.5 nm deposition, the surface displays a transmission pattern from α-Fe films with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[1 -1 1]//GaN[1 1 -2 0] (Kurdjumov-Sachs (KS) orientational relationship). Further deposition to 1 nm results in the appearance of a new spot pattern together with the pattern from domains with the KS orientation relationship. The newly observed pattern shows that Fe layers are formed with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[0 0 1]//GaN[1 1 -2 0] (Nishiyama-Wasserman (NW) orientational relationship). From STM images for Fe layers with the KS and NW orientational relationships, it can be seen that Fe layers with the KS relationship consist of round-shaped Fe nanodots with below 7 nm in average diameter. These nanodots coalesce to form nanodots elongating along the Fe[1 0 0] direction, and they have the KS orientational relationship. Elongated Fe nanodots with the NW relationship show ferromagnetism while round-shaped Fe nanodots with the KS relationship show super-paramagnetic behavior. We will discuss their magnetic properties in connection with the change in crystalline configurations of nanodots.

  9. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    Science.gov (United States)

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  10. Structure guided GANs

    Science.gov (United States)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  11. A new system for sodium flux growth of bulk GaN. Part II: in situ investigation of growth processes

    KAUST Repository

    Von Dollen, Paul

    2016-09-09

    We report recent results of bulk GaN crystal growth using the sodium flux method in a new crucible-free growth system. We observed a (0001) Ga face (+c-plane) growth rate >50 µm/h for growth at a N2 overpressure of ~5 MPa and 860 °C, which is the highest crystal growth rate reported for this technique to date. Omega X-ray rocking curve (ω-XRC) measurements indicated the presence of multiple grains, though full width at half maximum (FWHM) values for individual peaks were <100 arcseconds. Oxygen impurity concentrations as measured by secondary ion mass spectroscopy (SIMS) were >1020 atoms/cm3. By monitoring the nitrogen pressure decay over the course of the crystal growth, we developed an in situ method that correlates gas phase changes with precipitation of GaN from the sodium-gallium melt. Based on this analysis, the growth rate may have actually been as high as 90 µm/h, as it would suggest GaN growth ceased prior to the end of the run. We also observed gas phase behavior identified as likely characteristic of GaN polynucleation.

  12. A new system for sodium flux growth of bulk GaN. Part II: in situ investigation of growth processes

    KAUST Repository

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Nakamura, Shuji; Speck, James S.

    2016-01-01

    We report recent results of bulk GaN crystal growth using the sodium flux method in a new crucible-free growth system. We observed a (0001) Ga face (+c-plane) growth rate >50 µm/h for growth at a N2 overpressure of ~5 MPa and 860 °C, which is the highest crystal growth rate reported for this technique to date. Omega X-ray rocking curve (ω-XRC) measurements indicated the presence of multiple grains, though full width at half maximum (FWHM) values for individual peaks were <100 arcseconds. Oxygen impurity concentrations as measured by secondary ion mass spectroscopy (SIMS) were >1020 atoms/cm3. By monitoring the nitrogen pressure decay over the course of the crystal growth, we developed an in situ method that correlates gas phase changes with precipitation of GaN from the sodium-gallium melt. Based on this analysis, the growth rate may have actually been as high as 90 µm/h, as it would suggest GaN growth ceased prior to the end of the run. We also observed gas phase behavior identified as likely characteristic of GaN polynucleation.

  13. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  14. Heteroepitaxial growth and surface structure of L1{sub 0}-MnGa(111) ultra-thin films on GaN(0001)

    Energy Technology Data Exchange (ETDEWEB)

    Mandru, Andrada-Oana; Wang, Kangkang; Cooper, Kevin; Ingram, David C.; Smith, Arthur R. [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Garcia Diaz, Reyes; Takeuchi, Noboru [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autónoma de México, Apartado Postal 14, Ensenada Baja California, Codigo Postal 22800 (Mexico); Haider, Muhammad [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Department of Physics, King Fahd University of Petroleum and Minerals, Dhahran, 31261 (Saudi Arabia)

    2013-10-14

    L1{sub 0}-structured MnGa(111) ultra-thin films were heteroepitaxially grown on GaN(0001) under lightly Mn-rich conditions using molecular beam epitaxy. Room-temperature scanning tunneling microscopy (STM) investigations reveal smooth terraces and angular step edges, with the surface structure consisting primarily of a 2 × 2 reconstruction along with small patches of 1 × 2. Theoretical calculations were carried out using density functional theory, and the simulated STM images were calculated using the Tersoff-Hamman approximation, revealing that a stoichiometric 1 × 2 and a Mn-rich 2 × 2 surface structure give the best agreement with the observed experimental images.

  15. Effect of the out-of-plane stress on the properties of epitaxial SrTiO{sub 3} films with nano-pillar array on Si-substrate

    Energy Technology Data Exchange (ETDEWEB)

    Bai, Gang, E-mail: baigang@njupt.edu.cn [Jiangsu Provincial Engineering Laboratory for RF Integration and Micropackaging and College of Electronic Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China); Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Xie, Qiyun [Jiangsu Provincial Engineering Laboratory for RF Integration and Micropackaging and College of Electronic Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China); Liu, Zhiguo [Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Wu, Dongmei [School of Automation, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China)

    2015-08-21

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO{sub 3} films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO{sub 3} films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO{sub 3} films similar to PZT and other lead-based ferroelectrics can be expected.

  16. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  17. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  19. A new system for sodium flux growth of bulk GaN. Part I: System development

    Science.gov (United States)

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Albrithen, Hamad; Suihkonen, Sami; Nakamura, Shuji; Speck, James S.

    2016-12-01

    Though several methods exist to produce bulk crystals of gallium nitride (GaN), none have been commercialized on a large scale. The sodium flux method, which involves precipitation of GaN from a sodium-gallium melt supersaturated with nitrogen, offers potentially lower cost production due to relatively mild process conditions while maintaining high crystal quality. We successfully developed a novel apparatus for conducting crystal growth of bulk GaN using the sodium flux method which has advantages with respect to prior reports. A key task was to prevent sodium loss or migration from the growth environment while permitting N2 to access the growing crystal. We accomplished this by implementing a reflux condensing stem along with a reusable capsule containing a hermetic seal. The reflux condensing stem also enabled direct monitoring of the melt temperature, which has not been previously reported for the sodium flux method. Furthermore, we identified and utilized molybdenum and the molybdenum alloy TZM as a material capable of directly containing the corrosive sodium-gallium melt. This allowed implementation of a crucible-free system, which may improve process control and potentially lower crystal impurity levels. Nucleation and growth of parasitic GaN ("PolyGaN") on non-seed surfaces occurred in early designs. However, the addition of carbon in later designs suppressed PolyGaN formation and allowed growth of single crystal GaN. Growth rates for the (0001) Ga face (+c-plane) were up to 14 μm/h while X-ray omega rocking (ω-XRC) curve full width half-max values were 731″ for crystals grown using a later system design. Oxygen levels were high, >1019 atoms/cm3, possibly due to reactor cleaning and handling procedures.

  20. Mechanism of melting in submonolayer films of nitrogen molecules adsorbed on the basal planes of graphite

    DEFF Research Database (Denmark)

    Hansen, Flemming Yssing; Bruch, Ludwig Walter; Taub, H.

    1995-01-01

    The melting mechanism in submonolayer films of N-2 molecules adsorbed on the basal planes of graphite is studied using molecular-dynamics simulations. The melting is strongly correlated with the formation of vacancies in the films. As the temperature increases, the edges of the submonolayer patch...

  1. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  2. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  3. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  4. Study of Cs adsorption on (100) surface of [001]-oriented GaN nanowires: A first principle research

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Sihao [Department of Optoelectronic Technology, School of Electronic and Optical Engineering, Nanjing University of Science and Technology Nanjing, 210094 (China); Liu, Lei, E-mail: liu1133_cn@sina.com.cn [Department of Optoelectronic Technology, School of Electronic and Optical Engineering, Nanjing University of Science and Technology Nanjing, 210094 (China); Kong, Yike [Department of Optoelectronic Technology, School of Electronic and Optical Engineering, Nanjing University of Science and Technology Nanjing, 210094 (China); Wang, Honggang; Wang, Meishan [School of Information and Electrical Engineering, Ludong University, Yantai 264025 (China)

    2016-11-30

    Highlights: • B{sub N} is the most stable adsorption site. • Work function is reduced after Cs adsorption. • Surface atomic structures are reconstructed. • Surface states near fermi level is contributed to the hybridization of Cs 5s state with Ga 4p and N 2p state. • NEA surface is demonstrated after Cs adsorption on GaN nanowire surface. - Abstract: Based on first-principle study, the adsorption mechanism of Cs on (100) crystal plane of GaN nanowire surface with coverage of 1/12 monolayer is explored. It is discovered that the most stable adsorption site is B{sub N} because of its lowest adsorption energy. The work function of GaN nanowire surface is reduced by 1.69 eV and will be further reduced with increasing Cs adsorption, which promotes the development of negative electron affinity (NEA) state of the materials. Furthermore, Cs adatom will make a great influence on the surface atomic structure, oppositely, little influence on the center atomic structure. There appears a dipole moment valued −6.93 Debye on the nanowire surface contributed to the formation the heterojunction on the surface, which is beneficial to the photoelectrons liberation. After Cs adsorption, the valence band and conduction band both move to lower energy side. The surface states mainly result from the hybridization of Cs 5s state with Ga 4p state and N 2p state. This study can help us to further experiment on the Cs adsorption processing on GaN nanowire and improve the photoemission performance of GaN nanowire devices.

  5. Study of Cs adsorption on (100) surface of [001]-oriented GaN nanowires: A first principle research

    International Nuclear Information System (INIS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Wang, Honggang; Wang, Meishan

    2016-01-01

    Highlights: • B N is the most stable adsorption site. • Work function is reduced after Cs adsorption. • Surface atomic structures are reconstructed. • Surface states near fermi level is contributed to the hybridization of Cs 5s state with Ga 4p and N 2p state. • NEA surface is demonstrated after Cs adsorption on GaN nanowire surface. - Abstract: Based on first-principle study, the adsorption mechanism of Cs on (100) crystal plane of GaN nanowire surface with coverage of 1/12 monolayer is explored. It is discovered that the most stable adsorption site is B N because of its lowest adsorption energy. The work function of GaN nanowire surface is reduced by 1.69 eV and will be further reduced with increasing Cs adsorption, which promotes the development of negative electron affinity (NEA) state of the materials. Furthermore, Cs adatom will make a great influence on the surface atomic structure, oppositely, little influence on the center atomic structure. There appears a dipole moment valued −6.93 Debye on the nanowire surface contributed to the formation the heterojunction on the surface, which is beneficial to the photoelectrons liberation. After Cs adsorption, the valence band and conduction band both move to lower energy side. The surface states mainly result from the hybridization of Cs 5s state with Ga 4p state and N 2p state. This study can help us to further experiment on the Cs adsorption processing on GaN nanowire and improve the photoemission performance of GaN nanowire devices.

  6. Optical and structural characterization of GaN thin films at different N to Ga flux ratios

    International Nuclear Information System (INIS)

    El-Naggar, Ahmed M.

    2011-01-01

    GaN films were grown on Si(111) substrates under various beam equivalent pressure (BEP) ratios by plasma-assisted molecular beam epitaxy. The optical properties for the grown samples were studied over a wide spectral range from 200 to 3300 nm using the reflectance spectrum only. It was found that increasing the N/Ga BEP ratio from 17.9 to 46.1 increases the refractive index (n) from 2.05 to 2.38 at wavelength 630 nm (for example), while the optical energy gap (E g ) were found to be in the range between 3.325 to 3.35 eV with no specific trend. The structural properties for the grown films were studied through two types of rocking curve measurements; normal rocking curve (ω-scan) and triple axis rocking curve (ω/2θ-scan). It was found that with decreasing the N/Ga ratio from 46.1 to 17.9 the full width at half maximum decreases from 0.62 deg. to 0.58 deg. for ω-scan and from 0.022 deg. to 0.021 deg. for ω/2θ-scan. Thus, our results showed a clear correlation between the optical-structural parameters and the BEP ratios of N and Ga.

  7. Indentation-Induced Mechanical Deformation Behaviors of AlN Thin Films Deposited on c-Plane Sapphire

    International Nuclear Information System (INIS)

    Jian, Sh.R.; Juang, J.Y.

    2012-01-01

    The mechanical properties and deformation behaviors of AlN thin films deposited on c-plane sapphire substrates by helicon sputtering method were determined using the Berkovich nano indentation and cross-sectional transmission electron microscopy (XTEM). The load-displacement curves show the 'pop-ins' phenomena during nano indentation loading, indicative of the formation of slip bands caused by the propagation of dislocations. No evidence of nano indentation-induced phase transformation or cracking patterns was observed up to the maximum load of 80 mN, from either XTEM or atomic force microscopy (AFM) of the mechanically deformed regions. Instead, XTEM revealed that the primary deformation mechanism in AlN thin films is via propagation of dislocations on both basal and pyramidal planes. Furthermore, the hardness and Young's modulus of AlN thin films estimated using the continuous contact stiffness measurements (CSMs) mode provided with the nanoindenter are 16.2 GPa and 243.5 GPa, respectively.

  8. Silicon—a new substrate for GaN growth

    Indian Academy of Sciences (India)

    Unknown

    of GaN devices based on silicon is the thermal mismatch of GaN and Si, which generates cracks. In 1998, the .... Considerable research is being carried out on GaN HEMTs at present. ... by InGaN/GaN multiquantum well in MOVPE was first.

  9. X-Band GaN Power Amplifier MMIC with a Third Harmonic-Tuned Circuit

    Directory of Open Access Journals (Sweden)

    Kyung-Tae Bae

    2017-11-01

    Full Text Available This paper presents an X-band GaN HEMT power amplifier with a third harmonic-tuned circuit for a higher power density per area and a higher power-added efficiency (PAE using a 0.25 μm GaN HEMT process of WIN semiconductors, Inc. The optimum load impedances at the fundamental and third harmonic frequencies are extracted from load-pull simulations at the transistor’s extrinsic plane, including the drain-source capacitance and the series drain inductance. The third harmonic-tuned circuit is effectively integrated with the output matching circuit at the fundamental frequency, without complicating the whole output matching circuit. The input matching circuit uses a lossy matching scheme, which allows a good return loss and a simple LC low-pass circuit configuration. The fabricated power amplifier monolithic microwave integrated circuit (MMIC occupies an area of 13.26 mm2, and shows a linear gain of 20 dB or more, a saturated output power of 43.2~44.7 dBm, and a PAE of 35~37% at 8.5 to 10.5 GHz.

  10. One-dimensional analysis of plane and radial thin film flows including solid-body rotation

    Science.gov (United States)

    Thomas, S.; Hankey, W.; Faghri, A.; Swanson, T.

    1989-01-01

    The flow of a thin liquid film with a free surface along a horizontal plate which emanates from a pressurized vessel is examined by integrating the equations of motion across the thin liquid layer and discretizing the integrated equations using finite difference techniques. The effects of 0-g and solid-body rotation will be discussed. The two cases of interest are plane flow and radial flow. In plane flow, the liquid is considered to be flowing along a channel with no change in the width of the channel, whereas in radial flow the liquid spreads out radially over a disk, so that the area changes along the radius. It is desired to determine the height of the liquid film at any location along the plate of disk, so that the heat transfer from the plate or disk can be found. The possibility that the flow could encounter a hydraulic jump is accounted for.

  11. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  12. Integrated thin film Si fluorescence sensor coupled with a GaN microLED for microfluidic point-of-care testing

    Science.gov (United States)

    Robbins, Hannah; Sumitomo, Keiko; Tsujimura, Noriyuki; Kamei, Toshihiro

    2018-02-01

    An integrated fluorescence sensor consisting of a SiO2/Ta2O5 multilayer optical interference filter and hydrogenated amorphous silicon (a-Si:H) pin photodiode was coupled with a GaN microLED to construct a compact fluorescence detection module for point-of-care microfluidic biochemical analysis. The combination of the small size of the GaN microLED and asymmetric microlens resulted in a focal spot diameter of the excitation light of approximately 200 µm. The limit of detection of the sensor was as high as 36 nM for fluorescein solution flowing in a 100 µm deep microfluidic channel because of the lack of directionality of the LED light. Nevertheless, we used the GaN microLED coupled with the a-Si:H fluorescence sensor to successfully detect fluorescence from a streptavidin R-phycoerythrin conjugate that bound to biotinylated antibody-coated microbeads trapped by the barrier in the microfluidic channel.

  13. Axial p-n junction and space charge limited current in single GaN nanowire

    Science.gov (United States)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-01

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 1017 at cm-3 assuming a donor level N d of 2-3 × 1018 at cm-3. The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  14. Axial p-n junction and space charge limited current in single GaN nanowire.

    Science.gov (United States)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-05

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 10 17 at cm -3 assuming a donor level N d of 2-3 × 10 18 at cm -3 . The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  15. Taevo Gans / Ene Ammer

    Index Scriptorium Estoniae

    Ammer, Ene

    1998-01-01

    Sisearhitekt Taevo Gansist. Tudengipõlvest, selle aja projektidest, sõpruskonnast, tandemist Summatavet & Gans, Venemaa tellimustest, kaastöölistest. Üksinda Hommilkumaal vene tarbekunsti näitusega 1974. a. 1988. a. loodud perefirmast "GaDis" (omanikud Taevo, Helle Gans, Riia Oja), mis nõustab ka "Wermot" mööbli osas. "GaDise" sisekujundusprojektidest, millega Taevo ja Helle Gans tegelevad üheskoos

  16. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  17. Application of in-plane x-ray diffraction technique for residual stress measurement of TiN film/WC-Co alloy

    International Nuclear Information System (INIS)

    Takago, Shigeki; Yasui, Haruyuki; Awazu, Kaoru; Sasaki, Toshihiko; Hirose, Yukio; Sakurai, Kenji

    2006-01-01

    An in-plane X-ray diffraction technique was used to measure the residual stress of a CVD (chemical vapor deposition) TiN-coated WC-Co alloy. We could obtain the diffraction pattern from a thin film layer, eliminating that of the substrate. In the case of a conventional X-ray diffractometer, the X-ray penetration depth is about few μm. However, for a grazing incidence beam it is only 0.2μm. Depth profiles of residual stress in TiN film layer were evaluated by the present method and the conventional sin 2 ψ technique. We concluded that the in-plane diffraction technique enables us to determine the residual stress in a DVD-TiN film having an oriented texture. It was found that the residual tensile stress generated a mismatch of the coefficient of thermal expansion between the film and the substrate. (author)

  18. Application of in-plane x-ray diffraction technique for residual stress measurement of TiN film/WC-Co alloy

    Energy Technology Data Exchange (ETDEWEB)

    Takago, Shigeki; Yasui, Haruyuki; Awazu, Kaoru [Industrial Research Inst. of Ishikawa, Kanazawa, Ishikawa (Japan); Sasaki, Toshihiko; Hirose, Yukio [Kanazawa Univ., Dept. of Materials Science and Engineering, Kanazawa, Ishikawa (Japan); Sakurai, Kenji [National Inst. for Materials Science, Tsukuba, Ibaraki (Japan)

    2006-06-15

    An in-plane X-ray diffraction technique was used to measure the residual stress of a CVD (chemical vapor deposition) TiN-coated WC-Co alloy. We could obtain the diffraction pattern from a thin film layer, eliminating that of the substrate. In the case of a conventional X-ray diffractometer, the X-ray penetration depth is about few {mu}m. However, for a grazing incidence beam it is only 0.2{mu}m. Depth profiles of residual stress in TiN film layer were evaluated by the present method and the conventional sin{sup 2}{psi} technique. We concluded that the in-plane diffraction technique enables us to determine the residual stress in a DVD-TiN film having an oriented texture. It was found that the residual tensile stress generated a mismatch of the coefficient of thermal expansion between the film and the substrate. (author)

  19. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    Science.gov (United States)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  20. Vertical architecture for enhancement mode power transistors based on GaN nanowires

    Science.gov (United States)

    Yu, F.; Rümmler, D.; Hartmann, J.; Caccamo, L.; Schimpke, T.; Strassburg, M.; Gad, A. E.; Bakin, A.; Wehmann, H.-H.; Witzigmann, B.; Wasisto, H. S.; Waag, A.

    2016-05-01

    The demonstration of vertical GaN wrap-around gated field-effect transistors using GaN nanowires is reported. The nanowires with smooth a-plane sidewalls have hexagonal geometry made by top-down etching. A 7-nanowire transistor exhibits enhancement mode operation with threshold voltage of 1.2 V, on/off current ratio as high as 108, and subthreshold slope as small as 68 mV/dec. Although there is space charge limited current behavior at small source-drain voltages (Vds), the drain current (Id) and transconductance (gm) reach up to 314 mA/mm and 125 mS/mm, respectively, when normalized with hexagonal nanowire circumference. The measured breakdown voltage is around 140 V. This vertical approach provides a way to next-generation GaN-based power devices.

  1. Surface polarization, rumpling, and domain ordering of strained ultrathin BaTiO_3(001) films with in-plane and out-of-plane polarization

    International Nuclear Information System (INIS)

    Dionot, Jelle; Mathieu, Claire; Barrett, Nick; Geneste, Gregory

    2014-01-01

    BaTiO_3 ultrathin films (thickness ≅1.6 nm) with in- and out-of-plane polarization are studied by first-principles calculations. Out-of-plane polarization is simulated using the method proposed by Shimada et al. [Phys. Rev. B 81, 144116 (2010)], which consists in building a supercell containing small domains with alternating up and down polarization. This allows one to investigate the properties of defect free BaTiO_3 ultrathin films with polarization perpendicular to the surface, as a function of in-plane lattice constant, i.e., epitaxial strain. The configurations with polarization perpendicular to the surface (c phase) are found stable under compressive strain, while under tensile strain, the polarization tends to lie in-plane (aa phase), along [110]. In the c phase, the most stable domain width is predicted to be 1 to 2 lattice constants, and the magnitude of the surface rumpling varies according to the direction of the polarization (upwards versus downwards), though its sign is unchanged, the oxygen anions pointing in all cases outwards. Finally, all the surfaces studied are found to be insulating. Analysis of the atom-projected electronic density of states gives insight into the surface contributions to the electronic structure. An important reduction of the Kohn-Sham band gap is predicted at TiO_2 terminations in the c phase (≅1 eV with respect to the aa phase). The Madelung potential at the surface plays the dominant role in modifications of the surface electronic structure. (authors)

  2. Microbridge tests on gallium nitride thin films

    International Nuclear Information System (INIS)

    Huang, Hai-You; Li, Zhi-Ying; Lu, Jun-Yong; Wang, Zhi-Jia; Zhang, Tong-Yi; Wang, Chong-Shun; Lau, Kei-May; Chen, Kevin Jing

    2009-01-01

    In this work, we develop further the microbridge testing method by deriving a closed formula of deflection versus load, which is applied at an arbitrary position on the microbridge beam. Testing a single beam at various positions allows us to characterize simultaneouslyYoung's modulus and residual stress of the beam. The developed method was then used to characterize the mechanical properties of GaN thin films on patterned-Si (1 1 1) substrates grown by metal organic chemical vapor deposition (MOCVD). The microbridge samples were fabricated by using the microelectromechanical fabrication technique and tested with a nanoindentation system. Young's modulus and residual stress of the GaN films were determined to be 287 ± 190 GPa and 851 ± 155 MPa, respectively. In addition, alternative measurements of the residual stress, Young's modulus and hardness of the GaN films, were conducted with micro-Raman spectroscopy and the nanoindentation test, yielding the corresponding values of 847 ± 46 MPa, 269.0 ± 7.0 GPa and 17.8 ± 1.1 GPa

  3. High-power blue laser diodes with indium tin oxide cladding on semipolar (202{sup ¯}1{sup ¯}) GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pourhashemi, A., E-mail: pourhashemi@engr.ucsb.edu; Farrell, R. M.; Cohen, D. A.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2015-03-16

    We demonstrate a high power blue laser diode (LD) using indium tin oxide as a cladding layer on semipolar oriented GaN. These devices show peak output powers and external quantum efficiencies comparable to state-of-the-art commercial c-plane devices. Ridge waveguide LDs were fabricated on (202{sup ¯}1{sup ¯}) oriented GaN substrates using InGaN waveguiding layers and GaN cladding layers. At a lasing wavelength of 451 nm at room temperature, an output power of 2.52 W and an external quantum efficiency of 39% were measured from a single facet under a pulsed injection current of 2.34 A. The measured differential quantum efficiency was 50%.

  4. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  5. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength

    Directory of Open Access Journals (Sweden)

    Kaishi Wang

    2018-03-01

    Full Text Available The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young’s modulus, on the in-plane stress distribution have also been investigated. ‘Thickness-averaged In-plane Stress’ (TIS, a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  6. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength.

    Science.gov (United States)

    Wang, Kaishi; Zhang, Fangzhou; Bordia, Rajendra K

    2018-03-27

    The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm) on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young's modulus, on the in-plane stress distribution have also been investigated. 'Thickness-averaged In-plane Stress' (TIS), a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  7. Germanium doping of GaN by metalorganic chemical vapor deposition for polarization screening applications

    KAUST Repository

    Young, N.G.

    2016-10-01

    We demonstrate n-type doping of GaN with Ge by MOCVD at high concentrations that are necessary to fully screen the polarization fields in c-plane InGaN/GaN quantum wells. Hall measurements show linear Ge incorporation with dopant flow rate and carrier concentrations exceeding 1×10 cm. GaN:Ge layers exhibit excellent electron mobility, high conductivity, and contact resistivity comparable to the best unannealed contacts to Si-doped GaN. However, the surface morphology begins to degrade with Ge concentrations above 1×10 cm, resulting in severe step bunching and a network of plateaus and trenches, even in layers as thin as 10 nm.

  8. Germanium doping of GaN by metalorganic chemical vapor deposition for polarization screening applications

    KAUST Repository

    Young, N.G.; Farrell, R.M.; Iza, M.; Nakamura, S.; DenBaars, S.P.; Weisbuch, C.; Speck, J.S.

    2016-01-01

    We demonstrate n-type doping of GaN with Ge by MOCVD at high concentrations that are necessary to fully screen the polarization fields in c-plane InGaN/GaN quantum wells. Hall measurements show linear Ge incorporation with dopant flow rate and carrier concentrations exceeding 1×10 cm. GaN:Ge layers exhibit excellent electron mobility, high conductivity, and contact resistivity comparable to the best unannealed contacts to Si-doped GaN. However, the surface morphology begins to degrade with Ge concentrations above 1×10 cm, resulting in severe step bunching and a network of plateaus and trenches, even in layers as thin as 10 nm.

  9. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  10. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  11. ab-Plane Anisotropy of Transport Properties in Unidirectionally Twinned YBa2Cu3O7-δ Films

    International Nuclear Information System (INIS)

    Villard, C.; Chateignier, D.; Thrane, B.; Koren, G.; Cohen, D.; Polturak, E.

    1996-01-01

    A unidirectionally twinned, c oriented YBa 2 Cu 3 O 7-δ film was prepared on a (001) NdGaO 3 substrate. In the normal state between 100 and 300K, the unidirectional twin plane lattice induces a strong anisotropy of ρ ab , leading to a temperature independent ratio of 6 between the resisitivities across and along the twin boundaries. At 77K, the self-field critical current parallel to twin planes is 1.2x10 6 A/cm 2 , a value which is 25 times higher than along the perpendicular direction. This shows that, at this temperature, twin boundaries control the critical current values in YBCO films by either channeling or pinning effects. copyright 1996 The American Physical Society

  12. MOVPE growth of position-controlled InGaN / GaN core-shell nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Mandl, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Schimpke, Tilman; Binder, Michael; Galler, Bastian; Lugauer, Hans-Juergen; Strassburg, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Wang, Xue; Ledig, Johannes; Ehrenburg, Milena; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Kong, Xiang; Trampert, Achim [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2013-07-01

    Core-shell group III-nitride nano- and microrods (NAMs) enable a significant increase of the active layer area by exploiting the non-polar side facets (m-planes) and thus can potentially contribute to mitigating the so-called efficiency droop in LEDs. GaN NAMs exhibiting high aspect ratios were grown in a production-type MOVPE system. Low V/III ratio, hydrogen-rich carrier gas mixture and surfactants supported the 3D growth of the pencil-shape n-type GaN core. Desired narrow distributions of shape, diameter and height were achieved. The arrangement of the NAMs was controlled by patterns etched into SiO{sub 2} masks deposited on GaN templates. The active layer (InGaN/GaN SQW and MQWs) and the layer for the p-side were deposited with 2D-like conditions wrapped around the core. The crystalline quality of the NAMs, shell growth rates and the Indium distribution were investigated by high resolution transmission electron microscopy. Furthermore, optical emission was studied using density-dependent photoluminescence spectroscopy.

  13. Vectorial near-field imaging of a GaN based photonic crystal cavity

    International Nuclear Information System (INIS)

    La China, F.; Intonti, F.; Caselli, N.; Lotti, F.; Vinattieri, A.; Gurioli, M.; Vico Triviño, N.; Carlin, J.-F.; Butté, R.; Grandjean, N.

    2015-01-01

    We report a full optical deep sub-wavelength imaging of the vectorial components of the electric local density of states for the confined modes of a modified GaN L3 photonic crystal nanocavity. The mode mapping is obtained with a scanning near-field optical microscope operating in a resonant forward scattering configuration, allowing the vectorial characterization of optical passive samples. The optical modes of the investigated cavity emerge as Fano resonances and can be probed without the need of embedded light emitters or evanescent light coupling into the nanocavity. The experimental maps, independently measured in the two in-plane polarizations, turn out to be in excellent agreement with numerical predictions

  14. Polarity in GaN and ZnO: Theory, measurement, growth, and devices

    Science.gov (United States)

    Zúñiga-Pérez, Jesús; Consonni, Vincent; Lymperakis, Liverios; Kong, Xiang; Trampert, Achim; Fernández-Garrido, Sergio; Brandt, Oliver; Renevier, Hubert; Keller, Stacia; Hestroffer, Karine; Wagner, Markus R.; Reparaz, Juan Sebastián; Akyol, Fatih; Rajan, Siddharth; Rennesson, Stéphanie; Palacios, Tomás; Feuillet, Guy

    2016-12-01

    The polar nature of the wurtzite crystalline structure of GaN and ZnO results in the existence of a spontaneous electric polarization within these materials and their associated alloys (Ga,Al,In)N and (Zn,Mg,Cd)O. The polarity has also important consequences on the stability of the different crystallographic surfaces, and this becomes especially important when considering epitaxial growth. Furthermore, the internal polarization fields may adversely affect the properties of optoelectronic devices but is also used as a potential advantage for advanced electronic devices. In this article, polarity-related issues in GaN and ZnO are reviewed, going from theoretical considerations to electronic and optoelectronic devices, through thin film, and nanostructure growth. The necessary theoretical background is first introduced and the stability of the cation and anion polarity surfaces is discussed. For assessing the polarity, one has to make use of specific characterization methods, which are described in detail. Subsequently, the nucleation and growth mechanisms of thin films and nanostructures, including nanowires, are presented, reviewing the specific growth conditions that allow controlling the polarity of such objects. Eventually, the demonstrated and/or expected effects of polarity on the properties and performances of optoelectronic and electronic devices are reported. The present review is intended to yield an in-depth view of some of the hot topics related to polarity in GaN and ZnO, a fast growing subject over the last decade.

  15. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  16. Tunable light extraction efficiency of GaN light emitting diodes by ZnO nanorod arrays

    International Nuclear Information System (INIS)

    Chao, C H; Lin, W H; Lin, C F; Chen, C H; Changjean, C H

    2009-01-01

    We report the influence of ZnO nanorod arrays (NRAs) on the light extraction efficiency of GaN light emitting diodes (LEDs). Our investigation indicates that the output light intensity of the device exhibits a periodic oscillation as a function of the rod length. The variation of light extraction efficiency is caused by the Fabry–Perot resonance of the film composed of the nanorods. The theoretical analysis shows a good agreement with the measurement results. Our study reveals a method to control the output light extraction efficiency of GaN LEDs via a simple solution-based synthesized ZnO NRAs

  17. Indium clustering in a-plane InGaN quantum wells as evidenced by atom probe tomography

    International Nuclear Information System (INIS)

    Tang, Fengzai; Zhu, Tongtong; Oehler, Fabrice; Fu, Wai Yuen; Griffiths, James T.; Massabuau, Fabien C.-P.; Kappers, Menno J.; Oliver, Rachel A.; Martin, Tomas L.; Bagot, Paul A. J.; Moody, Michael P.

    2015-01-01

    Atom probe tomography (APT) has been used to characterize the distribution of In atoms within non-polar a-plane InGaN quantum wells (QWs) grown on a GaN pseudo-substrate produced using epitaxial lateral overgrowth. Application of the focused ion beam microscope enabled APT needles to be prepared from the low defect density regions of the grown sample. A complementary analysis was also undertaken on QWs having comparable In contents grown on polar c-plane sample pseudo-substrates. Both frequency distribution and modified nearest neighbor analyses indicate a statistically non-randomized In distribution in the a-plane QWs, but a random distribution in the c-plane QWs. This work not only provides insights into the structure of non-polar a-plane QWs but also shows that APT is capable of detecting as-grown nanoscale clustering in InGaN and thus validates the reliability of earlier APT analyses of the In distribution in c-plane InGaN QWs which show no such clustering

  18. Indium clustering in a-plane InGaN quantum wells as evidenced by atom probe tomography

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fengzai; Zhu, Tongtong; Oehler, Fabrice; Fu, Wai Yuen; Griffiths, James T.; Massabuau, Fabien C.-P.; Kappers, Menno J.; Oliver, Rachel A., E-mail: rao28@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Martin, Tomas L.; Bagot, Paul A. J.; Moody, Michael P., E-mail: michael.moody@materials.ox.ac.uk [Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom)

    2015-02-16

    Atom probe tomography (APT) has been used to characterize the distribution of In atoms within non-polar a-plane InGaN quantum wells (QWs) grown on a GaN pseudo-substrate produced using epitaxial lateral overgrowth. Application of the focused ion beam microscope enabled APT needles to be prepared from the low defect density regions of the grown sample. A complementary analysis was also undertaken on QWs having comparable In contents grown on polar c-plane sample pseudo-substrates. Both frequency distribution and modified nearest neighbor analyses indicate a statistically non-randomized In distribution in the a-plane QWs, but a random distribution in the c-plane QWs. This work not only provides insights into the structure of non-polar a-plane QWs but also shows that APT is capable of detecting as-grown nanoscale clustering in InGaN and thus validates the reliability of earlier APT analyses of the In distribution in c-plane InGaN QWs which show no such clustering.

  19. Porosity-induced relaxation of strains in GaN layers studied by means of micro-indentation and optical spectroscopy

    KAUST Repository

    Najar, Adel; Gerland, Michel; Jouiad, Mustapha

    2012-01-01

    We report the fabrication of porous GaNnanostructures using UV-assisted electroless etching of bulk GaN layer grown on c-plane sapphire substrate in a solution consisting of HF:CH3OH:H2O2. The morphology of the porous Ga

  20. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Voronenkov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Virko, M. V.; Kogotkov, V. S.; Leonidov, A. A. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Pinchuk, A. V.; Zubrilov, A. S.; Gorbunov, R. I.; Latishev, F. E.; Bochkareva, N. I.; Lelikov, Y. S.; Tarkhin, D. V.; Smirnov, A. N.; Davydov, V. Y. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Y. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A vertical Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.

  1. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  2. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  3. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Tailoring of in-plane magnetic anisotropy in polycrystalline cobalt thin films by external stress

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Dileep, E-mail: dkumar@csr.res.in [UGC-DAE Consortium for Scientic Research, Khandwa Road, Indore 452001 (India); Singh, Sadhana [UGC-DAE Consortium for Scientic Research, Khandwa Road, Indore 452001 (India); Vishawakarma, Pramod [School of Nanotechnology, RGPV, Bhopal 462036 (India); Dev, Arun Singh; Reddy, V.R. [UGC-DAE Consortium for Scientic Research, Khandwa Road, Indore 452001 (India); Gupta, Ajay [Amity Center for Spintronic Materials, Amity University, Sector 125, Noida 201303 (India)

    2016-11-15

    Polycrystalline Co films of nominal thickness ~180 Å were deposited on intentionally curved Si substrates. Tensile and compressive stresses of 100 MPa and 150 MPa were induced in the films by relieving the curvature. It has been found that, within the elastic limit, presence of stress leads to an in-plane magnetic anisotropy in the film and its strength increases with increasing stress. Easy axis of magnetization in the films is found to be parallel/ transverse to the compressive /tensile stresses respectively. The origin of magnetic anisotropy in the stressed films is understood in terms of magneto- elastic coupling, where the stress try to align the magnetic moments in order to minimize the magneto-elastic as well as anisotropy energy. Tensile stress is also found to be responsible for the surface smoothening of the films, which is attributed to the movement of the atoms associated with the applied stress. The present work provides a possible way to tailor the magnetic anisotropy and its direction in polycrystalline and amorphous films using external stress. - Highlights: • Tensile and compressive stresses were induced in Co films by removing the bending force from the substrates after film deposition. • Controlled external mechanical stress is found to be responsible for magnetic anisotropies in amorphous and polycrystalline thin films, where crystalline anisotropy is absent. • Tensile stress leads to surface smoothening of the polycrystalline Co films.

  5. Electrical properties of NiAs-type MnTe films with preferred crystallographic plane of (110)

    Energy Technology Data Exchange (ETDEWEB)

    Yang, L.; Wang, Z. H., E-mail: zhwang@imr.ac.cn; Zhang, Z. D. [Shenyang National Laboratory for Materials Science, Institute of Metal Research, Chinese Academy of Sciences, 72 Wenhua Road, Shenyang 110016 (China)

    2016-01-28

    NiAs-type manganese telluride (MnTe) films with preferred crystallographic plane of (110) were prepared on Si/SiO{sub 2} substrates by pulsed laser deposition. X-ray diffraction (XRD) of the films was studied at different temperatures. The XRD peak of MnTe (110) films shifts to higher angle with decreasing temperature, showing the decrease of the lattice parameter. Resistivity of the films was studied in the temperature range of 2–350 K. The bump between 150 and 250 K was observed in the films, which may be related to the special s-d and p-d overlaps induced by the compressed lattice. The magnon drag effect near its Néel temperature T{sub N} and enlarged magnetic-elastic coupling below 100 K were observed and analyzed in details.

  6. Photoluminescence spectroscopy and positron annihilation spectroscopy probe of alloying and annealing effects in nonpolar m-plane ZnMgO thin films

    Science.gov (United States)

    Yang, A. L.; Song, H. P.; Liang, D. C.; Wei, H. Y.; Liu, X. L.; Jin, P.; Qin, X. B.; Yang, S. Y.; Zhu, Q. S.; Wang, Z. G.

    2010-04-01

    Temperature-dependent photoluminescence characteristics of non-polar m-plane ZnO and ZnMgO alloy films grown by metal organic chemical vapor deposition have been studied. The enhancement in emission intensity caused by localized excitons in m-plane ZnMgO alloy films was directly observed and it can be further improved after annealing in nitrogen. The concentration of Zn vacancies in the films was increased by alloying with Mg, which was detected by positron annihilation spectroscopy. This result is very important to directly explain why undoped Zn1-xMgxO thin films can show p-type conduction by controlling Mg content, as discussed by Li et al. [Appl. Phys. Lett. 91, 232115 (2007)].

  7. Low-cost growth of magnesium doped gallium nitride thin films by sol-gel spin coating method

    Science.gov (United States)

    Amin, N. Mohd; Ng, S. S.

    2018-01-01

    Low-cost sol-gel spin coating growth of magnesium (Mg) doped gallium nitride (GaN) thin films with different concentrations of Mg was reported. The effects of the Mg concentration on the structural, surface morphology, elemental compositions, lattice vibrational, and electrical properties of the deposited films were investigated. X-ray diffraction results show that the Mg-doped samples have wurtzite structure with preferred orientation of GaN(002). The crystallite size decreases and the surface of the films with pits/pores were formed, while the crystalline quality of the films degraded as the Mg concentration increases from 2% to 6. %. All the Raman active phonon modes of the wurtzite GaN were observed while a broad peak attributed to the Mg-related lattice vibrational mode was detected at 669 cm-1. Hall effect results show that the resistivity of the thin films decreases while the hole concentration and hall mobility of thin films increases as the concentration of the Mg increases.

  8. Synthesis of GaN Nanorods by a Solid-State Reaction

    Directory of Open Access Journals (Sweden)

    Keyan Bao

    2010-01-01

    Full Text Available An atom-economical and eco-friendly chemical synthetic route was developed to synthesize wurtzite GaN nanorods by the reaction of NaNH2 and the as-synthesized orthorhombic GaOOH nanorods in a stainless steel autoclave at 600∘C. The lengths of the GaN nanorods are in the range of 400–600 nm and the diameters are about 80–150 nm. The process of orthorhombic GaOOH nanorods transformation into wurtzite GaN nanorods was investigated by powder X-ray diffraction (XRD and field emission scanning electron microscope (FESEM, indicating that the GaN product retained essentially the same basic topological morphology in contrast to that of the GaOOH precursor. It was found that rhombohedral Ga2O3 was the intermediate between the starting orthorhombic GaOOH precursor and the final wurtzite GaN product. The photoluminescence measurements reveal that the as-prepared wurtzite GaN nanorods showed strong blue emission.

  9. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. A 30 Mbps in-plane full-duplex light communication using a monolithic GaN photonic circuit

    Science.gov (United States)

    Gao, Xumin; Yuan, Jialei; Yang, Yongchao; Li, Yuanhang; Yuan, Wei; Zhu, Guixia; Zhu, Hongbo; Feng, Meixin; Sun, Qian; Liu, Yuhuai; Wang, Yongjin

    2017-07-01

    We propose, fabricate and characterize photonic integration of a InGaN/GaN multiple-quantum-well light-emitting diode (MQW-LED), waveguide, ring resonator and InGaN/GaN MQW-photodiode on a single chip, in which the photonic circuit is suspended by the support beams. Both experimental observations and simulation results illustrate the manipulation of in-plane light coupling and propagation by the waveguide and the ring resonator. The monolithic photonic circuit forms an in-plane data communication system using visible light. When the two suspended InGaN/GaN MQW-diodes simultaneously serve as the transmitter and the receiver, an in-plane full-duplex light communication is experimentally demonstrated with a transmission rate of 30 Mbps, and the superimposed signals are extracted using the self-interference cancellation method. The suspended photonic circuit creates new possibilities for exploring the in-plane full-duplex light communication and manufacturing complex GaN-based monolithic photonic integrations.

  11. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    Science.gov (United States)

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  12. Analysis of Vegard’s law for lattice matching In x Al 1−x N to GaN by metalorganic chemical vapor deposition

    KAUST Repository

    Foronda, Humberto M.

    2017-06-19

    Coherent InxAl1−xN (x = 0.15 to x = 0.28) films were grown by metalorganic chemical vapor deposition on GaN templates to investigate if the films obey Vegard’s Law by comparing the film stress-thickness product from wafer curvature before and after InxAl1−xN deposition. The In composition and film thickness were verified using atom probe tomography and high resolution X-ray diffraction, respectively. Ex-situ curvature measurements were performed to analyze the curvature before and after the InxAl1−xN deposition. At ∼In0.18Al0.82N, no change in curvature was observed following InAlN deposition; confirming that films of this composition are latticed matched to GaN, obeying Vegard’s law. The relaxed a0- and c0- lattice parameters of InxAl1−xN were experimentally determined and in agreement with lattice parameters predicted by Vegard’s law.

  13. Analysis of Vegard’s law for lattice matching In x Al 1−x N to GaN by metalorganic chemical vapor deposition

    KAUST Repository

    Foronda, Humberto M.; Mazumder, Baishakhi; Young, Erin C.; Laurent, Matthew A.; Li, Youli; DenBaars, Steven P.; Speck, James S.

    2017-01-01

    Coherent InxAl1−xN (x = 0.15 to x = 0.28) films were grown by metalorganic chemical vapor deposition on GaN templates to investigate if the films obey Vegard’s Law by comparing the film stress-thickness product from wafer curvature before and after InxAl1−xN deposition. The In composition and film thickness were verified using atom probe tomography and high resolution X-ray diffraction, respectively. Ex-situ curvature measurements were performed to analyze the curvature before and after the InxAl1−xN deposition. At ∼In0.18Al0.82N, no change in curvature was observed following InAlN deposition; confirming that films of this composition are latticed matched to GaN, obeying Vegard’s law. The relaxed a0- and c0- lattice parameters of InxAl1−xN were experimentally determined and in agreement with lattice parameters predicted by Vegard’s law.

  14. Probing exciton density of states through phonon-assisted emission in GaN epilayers: A and B exciton contributions

    Science.gov (United States)

    Cavigli, Lucia; Gabrieli, Riccardo; Gurioli, Massimo; Bogani, Franco; Feltin, Eric; Carlin, Jean-François; Butté, Raphaël; Grandjean, Nicolas; Vinattieri, Anna

    2010-09-01

    A detailed experimental investigation of the phonon-assisted emission in a high-quality c -plane GaN epilayer is presented up to 200 K. By performing photoluminescence and reflectivity measurements, we find important etaloning effects in the phonon-replica spectra, which have to be corrected before addressing the lineshape analysis. Direct experimental evidence for free exciton thermalization is found for the whole temperature range investigated. A close comparison with existing models for phonon replicas originating from a thermalized free exciton distribution shows that the simplified and commonly adopted description of the exciton-phonon interaction with a single excitonic band leads to a large discrepancy with experimental data. Only the consideration of the complex nature of the excitonic band in GaN, including A and B exciton contributions, allows accounting for the temperature dependence of the peak energy, intensity, and lineshape of the phonon replicas.

  15. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  16. Improvement of stoichiometry in (ZnO)1-x(GaN)x thin films grown by laser ablation

    International Nuclear Information System (INIS)

    Gopalakrishnan, N.; Shin, B.C.; Bhuvana, K.P.; Elanchezhiyan, J.; Balasubramanian, T.

    2008-01-01

    The fabrication of pure and GaN (1 mol%) doped ZnO thin films by KrF excimer laser have been addressed. The fabricated films on Si(1 1 1) substrates have been investigated by X-ray diffraction (XRD), photoluminescence (PL) and atomic force microscopy (AFM) in order to investigate the structural, optical and morphological properties, respectively. The XRD analysis shows that the full width at half maximum (FWHM) of ZnO film is found to be decreased as doped with GaN due to the improvement of the stoichiometery between Zn and O. The PL spectra reveal that the deep level emissions due to native donor defects in pure ZnO are suppressed upon doping with GaN. The images of AFM show that the RMS surface roughness of pure ZnO, 27 nm is reduced to18 nm while doped with 1 mol% GaN. The incorporation of nitrogen in the film is confirmed by glow discharge mass spectroscopy (GDMS). The improved structural, optical and morphological properties of ZnO by GaN dopant due to enhancement of stoichiometry have been discussed in detail

  17. Stress relaxed nanoepitaxy GaN for growth of phosphor-free indium-rich nanostructures incorporated in apple-white LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Soh, C.B.; Liu, W.; Ang, N.S.S.; Yong, A.M.; Lai, S.C.; Teng, J.H. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Chua, S.J. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Singapore-MIT Alliance, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2010-06-15

    Phosphor-free apple-white light emitting diodes (LEDs) have been fabricated using dual stacked InGaN/GaN multiple quantum wells (MQWs) comprising a lower set of long wavelength emitting indium rich nanostructures incorporated in MQWs with an upper set of cyan-green emitting MQWs. The LEDs were grown on nano-epitaxial lateral overgrown (ELO) GaN template formed by regrowth of GaN over SiO{sub 2} film patterned using an anodic alumina oxide mask with holes of {proportional_to}125 nm diameter and a period of 250 nm. The MQWs grown on the nano-ELO GaN templates show stronger photoluminescence intensity and a higher activation energy for their peak emission. A minimal shift in the electroluminescence (EL) spectra with higher injection current applied for LEDs grown on ELO-GaN compared to conventional GaN template, suggests a reduction in strain of the quantum well layers on the nano-ELO GaN template. An enhancement in the light extraction efficiency is also achieved with multiple scattering via the embedded SiO{sub 2} mask. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  18. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    International Nuclear Information System (INIS)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Oppo, Carla Ivana; Malindretos, Joerg; Rizzi, Angela

    2015-01-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated. (paper)

  19. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    Science.gov (United States)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Ivana Oppo, Carla; Malindretos, Joerg; Rizzi, Angela

    2015-08-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated.

  20. Intrinsic Channeling of Vortices along the ab Plane in Vicinal YBa2Cu3O7-δ Films

    International Nuclear Information System (INIS)

    Berghuis, P.; Di Bartolomeo, E.; Wagner, G.A.; Evetts, J.E.

    1997-01-01

    We have measured the critical current density j c as a function of the orientation of a magnetic field in vicinal YBa 2 Cu 3 O 7-δ films. When both field and Lorentz force lie within the ab plane, we observe a minimum in j c . At high temperatures, as the c -axis coherence length approaches the ab -plane distance, the minimum in j c could not be observed, indicating that this effect is related to the breakdown of the rectilinear vortex state for fields at a small angle to the ab planes. Our results are the first demonstration of intrinsic channeling of vortex strings along the ab planes. copyright 1997 The American Physical Society

  1. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  2. Nonpolar ZnO film growth and mechanism for anisotropic in-plane strain relaxation

    International Nuclear Information System (INIS)

    Pant, P.; Budai, J.D.; Narayan, J.

    2010-01-01

    Using high-resolution transmission electron microscopy (HRTEM) and X-ray diffraction, we investigated the strain relaxation mechanisms for nonpolar (1 1 -2 0) a-plane ZnO epitaxy on (1 -1 0 2) r-plane sapphire, where the in-plane misfit ranges from -1.5% for the [0 0 0 1]ZnO-parallel [1 -1 0 -1]sapphire to -18.3% for the [-1 1 0 0]ZnO-parallel [-1 -1 2 0]sapphire direction. For the large misfit [-1 1 0 0]ZnO direction the misfit strains are fully relaxed at the growth temperature, and only thermal misfit and defect strains, which cannot be relaxed fully by slip dislocations, remain on cooling. For the small misfit direction, lattice misfit is not fully relaxed at the growth temperature. As a result, additive unrelaxed lattice and thermal misfit and defect strains contribute to the measured strain. Our X-ray diffraction measurements of lattice parameters show that the anisotropic in-plane biaxial strain leads to a distortion of the hexagonal symmetry of the ZnO basal plane. Based on the anisotropic strain relaxation observed along the orthogonal in-plane [-1 1 0 0] and [0 0 0 1]ZnO stress directions and our HRTEM investigations of the interface, we show that the plastic relaxation occurring in the small misfit direction [0 0 0 1]ZnO by dislocation nucleation is incomplete. These results are consistent with the domain-matching paradigm of a complete strain relaxation for large misfits and a difficulty in relaxing the film strain for small misfits.

  3. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  4. Growth mechanism of InGaN nanodots on three-dimensional GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Donghwy; Min, Daehong; Nam, Okhyun [Department of Nano-Optical Engineering, Convergence Center for Advanced Nano-Semiconductor (CANS), Korea Polytechnic University (KPU), Siheung-si, Gyeonggi-do (Korea, Republic of)

    2017-07-15

    In this study, we investigated the growth mechanism of indium gallium nitride (InGaN) nanodots (NDs) and an InGaN layer, which were simultaneously formed on a three-dimensional (3D) gallium nitride (GaN) structure, having (0001) polar, (11-22) semi-polar, and (11-20) nonpolar facets. We observed the difference in the morphological and compositional properties of the InGaN structures. From the high resolution transmission electron microscopy (HR-TEM) images, it can be seen that the InGaN NDs were formed only on the polar and nonpolar facets, whereas an InGaN layer was formed on the semi-polar facet. The indium composition variation in all the InGaN structures was observed using scanning transmission electron microscopy (STEM) and the energy dispersive X-ray spectroscopy (EDS). The different growth mechanism can be explained by two reasons: (i) The difference in the diffusivities of indium and gallium adatoms at each facet of 3D GaN structure; and (ii) the difference in the kinetic Wulff plots of polar, semi-polar, and nonpolar GaN planes. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Huaxiang, E-mail: shenhuaxiang@gmail.com [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Zhu, Guo-Zhen; Botton, Gianluigi A. [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Canadian Centre for Electron Microscopy, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Kitai, Adrian [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Department of Engineering Physics, McMaster University, Hamilton, Ontario L8S 4L7 (Canada)

    2015-03-21

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality (0002) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by (0002) oriented wurtzite GaN and (111) oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H{sub 2} into Ar and/or N{sub 2} during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H{sub 2} into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted (33{sup ¯}02) orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H{sub 2} into N{sub 2} due to the complex reaction between H{sub 2} and N{sub 2}.

  6. Design and fabrication of single-crystal GaN nano-bridge on homogeneous substrate for nanoindentation

    Science.gov (United States)

    Hung, Shang-Chao

    2014-12-01

    This study reports a simple method to design and fabricate a freestanding GaN nano-bridge over a homogeneous short column as supporting leg. Test samples were fabricated from MOCVD-grown single-crystal GaN films over sapphire substrate using a FIB milling to leave freestanding short spans. We also investigated the nanoindentation characteristics and the corresponding nanoscopic mechanism of the GaN nano-bridge and its short column with a conical indenter inside transmission electron microscopy. The stress-strain mechanical properties and Young's modulus have also been examined and calculated as 108 GPa ± 4.8 % by the strain energy method. The significant slope switch of the L- D curve corresponds to the transition from the single-point bending indentation to the surface stretching indentation and has been interpreted with the evolution of TEM images. This freestanding fabrication and test have key advantages to characterize nanoscale behavior of one-dimensional bridge structure and greater ease of sample preparation over other micro-fabrication techniques.

  7. Polarization of eigenmodes in laser diode waveguides on semipolar and nonpolar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rass, Jens; Vogt, Patrick [Institute of Solid State Physics, Technische Universitaet Berlin (Germany); Wernicke, Tim; Einfeldt, Sven; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Scheibenzuber, Wolfgang G.; Schwarz, Ulrich T. [Department of Physics, Regensburg University (Germany); Kupec, Jan [Integrated Systems Laboratory, ETH Zurich (Switzerland); Witzigmann, Bernd [Computational Electronics and Photonics Group, University of Kassel (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin (Germany); Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany)

    2010-02-15

    Recent calculations of the eigenmodes in waveguides grown on semipolar GaN suggest that the optical polarization of the emitted light as well as the optical gain depends on the orientation of the resonator. Our measurements on separate confinement heterostructures on semipolar (11 anti 22) and (10 anti 12) GaN show that for laser resonators along the semipolar [11 anti 2 anti 3 ] and [0 anti 111] directions (i.e. the projection of the c-axis onto the plane of growth) the threshold for amplified spontaneous emission is lower than for the nonpolar direction and that the stimulated emission is linearly polarized as TE mode. For the waveguide structures along the nonpolar [1 anti 100] or [11 anti 20] direction on the other hand, birefringence and anisotropy of the optical gain in the plane of growth leads not only to a higher threshold but also to a rotation of the optical polarization which is not any more TE- or TM-polarized but influenced by the ordinary and extraordinary refractive index of the material. We observe stimulated emission into a mode which is linearly polarized in extraordinarydirection nearly parallel to the c-axis. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  9. Synthetic Strategies and Applications of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Guoquan Suo

    2014-01-01

    Full Text Available GaN is an important III-V semiconductor material with a direct band gap of 3.4 eV at 300 K. The wide direct band gap makes GaN an attractive material for various applications. GaN nanowires have demonstrated significant potential as fundamental building blocks for nanoelectronic and nanophotonic devices and also offer substantial promise for integrated nanosystems. In this paper, we provide a comprehensive review on the general synthetic strategies, characterizations, and applications of GaN nanowires. We first summarize several growth techniques of GaN nanowires. Subsequently, we discuss mechanisms involved to generate GaN nanowires from different synthetic schemes and conditions. Then we review some characterization methods of GaN nanowires. Finally, several kinds of main applications of GaN nanowires are discussed.

  10. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  11. Structural TEM study of nonpolar a-plane gallium nitride grown on(112_0) 4H-SiC by organometallic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zakharov, Dmitri N.; Liliental-Weber, Zuzanna; Wagner, Brian; Reitmeier,Zachary J.; Preble, Edward A.; Davis, Robert F.

    2005-04-05

    Conventional and high resolution electron microscopy havebeen applied for studying lattice defects in nonpolar a-plane GaN grownon a 4H-SiC substrate with an AlN buffer layer. Samples in plan-view andcross-section configurations have been investigated. Basal and prismaticstacking faults together with Frank and Shockley partial dislocationswere found to be the main defects in the GaN layers. High resolutionelectron microscopy in combination with image simulation supported Drum smodel for the prismatic stacking faults. The density of basal stackingfaults was measured to be ~;1.6_106cm-1. The densities of partialdislocations terminating I1 and I2 types of intrinsic basal stackingfaults were ~;4.0_1010cm-2 and ~;0.4_1010cm-2, respectively. The energyof the I2 stacking fault in GaN was estimated to be (40+-4) erg/cm2 basedon the separation of Shockley partial dislocations. To the best of ourknowledge, the theoretically predicted I3 basal stacking fault in GaN wasobserved experimentally for the first time.

  12. Optimum Er concentration for in situ doped GaN visible and infrared luminescence

    International Nuclear Information System (INIS)

    Lee, D. S.; Heikenfeld, J.; Steckl, A. J.; Hommerich, U.; Seo, J. T.; Braud, A.; Zavada, J.

    2001-01-01

    GaN thin films have been doped with varying Er concentrations (0.01--10 at.%) during molecular-beam-epitaxy growth. As expected, the visible and infrared (IR) emissions, from photoluminescence (PL) and electroluminescence (EL), are a strong function of Er concentration. We report on the determination of an optimum Er doping level for PL and EL intensity. Secondary ion mass spectroscopy and Rutherford backscattering measurements showed that the Er concentration in GaN increased exponentially with Er cell temperature. PL and EL intensity of green emission at 537 and 558 nm, due to Er 4f--4f inner shell transitions, exhibited a maximum at ∼1 at.% Er. IR PL intensity at 1.54 μm, due to another Er transition, revealed the same maximum for ∼1 at.% Er concentration. PL lifetime measurements at 537 nm showed that samples with Er concentration <1 at.% had a lifetime of ∼5 μs. For Er concentration ≥1 at.%, the lifetime decreased rapidly to values below 1 μs. This concentration quenching is believed to be due to a combination of Er cross relaxation and energy transfer to GaN defects, eventually followed by precipitation. This conclusion is supported by x-ray diffraction measurements. As a result, we have determined that the optimum Er doping concentration into GaN is ∼1 at.%. Copyright 2001 American Institute of Physics

  13. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Facet formation and ohmic contacts for laser diodes on non- and semipolar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rass, Jens; Ploch, Simon; Vogt, Patrick [Technische Universitaet Berlin (Germany). Institute of Solid State Physics; Wernicke, Tim; Redaelli, Luca; Einfeldt, Sven [Ferdinand- Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Technische Universitaet Berlin (Germany). Institute of Solid State Physics; Ferdinand- Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany)

    2009-07-01

    Group-III-Nitride heterostructures grown on nonpolar and semipolar planes allow the realization of highly efficient devices such as laser diodes and LEDs due to the reduction or elimination of the quantum confined Stark effect. However, the realization of these devices poses a number of challenges, in particular the formation of smooth laser facets and the fabrication of ohmic contacts. In this talk optimized schemes for facet formation and contact resistance reduction for nitride based devices on non- and semipolar planes are presented, and various concepts are discussed. We discuss a laser scribing process that allows the cleaving of facets along the c- and a-plane for devices grown on nonpolar substrates. For semipolar planes there is no low-index cleavage plane in order to form resonators along the projection of the c-axis. Therefore we have investigated etching techniques in order to produce flat facets perpendicular to the plane of growth. For the challenging formation of p-type contacts to GaN we discuss different methods such as chemical treatments, different metallization schemes and capping layers to reduce the contact resistivity.

  15. Piezo-generator integrating a vertical array of GaN nanowires.

    Science.gov (United States)

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  16. GHz modulation enabled using large extinction ratio waveguide-modulator integrated with 404 nm GaN laser diode

    KAUST Repository

    Shen, Chao

    2017-01-30

    A 404-nm emitting InGaN-based laser diode with integrated-waveguide-modulator showing a large extinction ratio of 11.3 dB was demonstrated on semipolar (2021) plane GaN substrate. The device shows a low modulation voltage of −2.5 V and ∼ GHz −3 dB bandwidth, enabling 1.7 Gbps data transmission.

  17. GHz modulation enabled using large extinction ratio waveguide-modulator integrated with 404 nm GaN laser diode

    KAUST Repository

    Shen, Chao; Lee, Changmin; Ng, Tien Khee; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.; Alyamani, Ahmed Y.; Eldesouki, Munir M.; Ooi, Boon S.

    2017-01-01

    A 404-nm emitting InGaN-based laser diode with integrated-waveguide-modulator showing a large extinction ratio of 11.3 dB was demonstrated on semipolar (2021) plane GaN substrate. The device shows a low modulation voltage of −2.5 V and ∼ GHz −3 dB bandwidth, enabling 1.7 Gbps data transmission.

  18. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  19. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  20. Use of GaN as a Scintillating Ionizing Radiation Detector

    Science.gov (United States)

    Wensman, Johnathan; Guardala, Noel; Mathur, Veerendra; Alasagas, Leslie; Vanhoy, Jeffrey; Statham, John; Marron, Daniel; Millett, Marshall; Marsh, Jarrod; Currie, John; Price, Jack

    2017-09-01

    Gallium nitride (GaN) is a III/V direct bandgap semiconductor which has been used in light emitting diodes (LEDs) since the 1990s. Currently, due to a potential for increased efficiency, GaN is being investigated as a replacement for silicon in power electronics finding potential uses ranging from data centers to electric vehicles. In addition to LEDs and power electronics though, doped GaN can be used as a gamma insensitive fast neutron detector due to the direct band-gap, light propagation properties, and response to ionizing radiations. Investigation of GaN as a semiconductor scintillator for use in a radiation detection system involves mapping the response function of the detector crystal over a range of photon and neutron energies, and measurements of light generation in the GaN crystal due to proton, alpha, and nitrogen projectiles. In this presentation we discuss the measurements made to date, and plausible interpretations of the response functions. This work funded in part by the Naval Surface Warfare Center, Carderock Division In-house Laboratory Independent Research program.

  1. The origins and properties of intrinsic nonradiative recombination centers in wide bandgap GaN and AlGaN

    Science.gov (United States)

    Chichibu, S. F.; Uedono, A.; Kojima, K.; Ikeda, H.; Fujito, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.

    2018-04-01

    The nonradiative lifetime (τNR) of the near-band-edge emission in various quality GaN samples is compared with the results of positron annihilation measurement, in order to identify the origin and to determine the capture-cross-section of the major intrinsic nonradiative recombination centers (NRCs). The room-temperature τNR of various n-type GaN samples increased with decreasing the concentration of divacancies composed of a Ga vacancy (VGa) and a N vacancy (VN), namely, VGaVN. The τNR value also increased with increasing the diffusion length of positrons, which is almost proportional to the inverse third root of the gross concentration of all point defects. The results indicate that major intrinsic NRC in n-type GaN is VGaVN. From the relationship between its concentration and τNR, its hole capture-cross-section is estimated to be about 7 × 10-14 cm2. Different from the case of 4H-SiC, the major NRCs in p-type and n-type GaN are different: the major NRCs in Mg-doped p-type GaN epilayers are assigned to multiple vacancies containing a VGa and two (or three) VNs, namely, VGa(VN)n (n = 2 or 3). The ion-implanted Mg-doped GaN films are found to contain larger size vacancy complexes such as (VGa)3(VN)3. In analogy with GaN, major NRCs in Al0.6Ga0.4N alloys are assigned to vacancy complexes containing an Al vacancy or a VGa.

  2. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  3. Z L GAN

    Indian Academy of Sciences (India)

    Z L GAN. Articles written in Sadhana. Volume 43 Issue 4 April 2018 pp 59. Effect of scale size, orientation type and dispensing method on void formation in the CUF encapsulation of BGA · AIZAT ABAS FEI CHONG NG Z L GAN M H H ISHAK M Z ABDULLAH GEAN YUEN CHONG · More Details Abstract Fulltext PDF.

  4. Transmission electron microscopy of defects and internal fields in GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, H

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10{sup 9}/cm{sup 2}. The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In{sub 0.1}Ga{sub 0.9}N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  5. Transmission electron microscopy of defects and internal fields in GaN structures

    International Nuclear Information System (INIS)

    Mokhtari, H.

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10 9 /cm 2 . The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In 0.1 Ga 0.9 N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  6. Preparation of p-type GaN-doped SnO2 thin films by e-beam evaporation and their applications in p-n junction

    Science.gov (United States)

    Lv, Shuliang; Zhou, Yawei; Xu, Wenwu; Mao, Wenfeng; Wang, Lingtao; Liu, Yong; He, Chunqing

    2018-01-01

    Various transparent GaN-doped SnO2 thin films were deposited on glass substrates by e-beam evaporation using GaN:SnO2 targets of different GaN weight ratios. It is interesting to find that carrier polarity of the thin films was converted from n-type to p-type with increasing GaN ratio higher than 15 wt.%. The n-p transition in GaN-doped SnO2 thin films was explained for the formation of GaSn and NO with increasing GaN doping level in the films, which was identified by Hall measurement and XPS analysis. A transparent thin film p-n junction was successfully fabricated by depositing p-type GaN:SnO2 thin film on SnO2 thin film, and a low leakage current (6.2 × 10-5 A at -4 V) and a low turn-on voltage of 1.69 V were obtained for the p-n junction.

  7. Ab-initio studies of the Sc adsorption and the ScN thin film formation on the GaN(000-1)-(2 × 2) surface

    International Nuclear Information System (INIS)

    Guerrero-Sánchez, J.; Sánchez-Ochoa, F.; Cocoletzi, Gregorio H.; Rivas-Silva, J.F.; Takeuchi, Noboru

    2013-01-01

    First principles total energy calculations have been performed to investigate the initial stages of the Sc adsorption and ScN thin film formation on the GaN(000-1)-(2 × 2) surface. Studies are done within the periodic density functional theory as implemented in the PWscf code of the Quantum ESPRESSO package. The Sc adsorption at high symmetry sites results in the bridge site as the most stable structure. When a Sc monolayer is deposited above the surface the T4 site results as the most stable geometry. The Sc migration into the first Ga monolayer induces the Ga displaced ad-atom to be adsorbed at the T4-2 site. A ScN bilayer may be obtained under the Ga monolayer. Finally a ScN bilayer may be formed in the wurtzite phase above the surface. The formation energy plots show that in the moderate Ga-rich conditions we obtain the formation of a ScN bilayer under the gallium monolayer. However at N-rich conditions the formation of ScN bilayer above the surface is the most favorable structure. We report the density of states to explain the electronic structure of the most favorable geometries. - Highlights: • Studies of the initial stages in the formation of Sc and ScN structures on GaN • In the adsorption of Sc on the GaN the Br site is the most favorable geometry. • When a Sc replaces a Ga of the first monolayer the displaced Ga occupies a T4-2 site. • For Ga-rich conditions there is formation of ScN under the Ga monolayer. • In N-rich conditions there is formation of ScN in the wurtzite phase

  8. Effects of material growth technique and Mg doping on Er3+ photoluminescence in Er-implanted GaN

    International Nuclear Information System (INIS)

    Kim, S.; Henry, R. L.; Wickenden, A. E.; Koleske, D. D.; Rhee, S. J.; White, J. O.; Myoung, J. M.; Kim, K.; Li, X.; Coleman, J. J.

    2001-01-01

    Photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies have been carried out at 6 K on the ∼1540 nm 4 I 13/2 - 4 I 15/2 emissions of Er 3+ in Er-implanted and annealed GaN. These studies revealed the existence of multiple Er 3+ centers and associated PL spectra in Er-implanted GaN films grown by metalorganic chemical vapor deposition, hydride vapor phase epitaxy, and molecular beam epitaxy. The results demonstrate that the multiple Er 3+ PL centers and below-gap defect-related absorption bands by which they are selectively excited are universal features of Er-implanted GaN grown by different techniques. It is suggested that implantation-induced defects common to all the GaN samples are responsible for the Er site distortions that give rise to the distinctive, selectively excited Er 3+ PL spectra. The investigations of selectively excited Er 3+ PL and PLE spectra have also been extended to Er-implanted samples of Mg-doped GaN grown by various techniques. In each of these samples, the so-called violet-pumped Er 3+ PL band and its associated broad violet PLE band are significantly enhanced relative to the PL and PLE of the other selectively excited Er 3+ PL centers. More importantly, the violet-pumped Er 3+ PL spectrum dominates the above-gap excited Er 3+ PL spectrum of Er-implanted Mg-doped GaN, whereas it was unobservable under above-gap excitation in Er-implanted undoped GaN. These results confirm the hypothesis that appropriate codopants can increase the efficiency of trap-mediated above-gap excitation of Er 3+ emission in Er-implanted GaN. [copyright] 2001 American Institute of Physics

  9. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  10. Low modulation bias InGaN-based integrated EA-modulator-laser on semipolar GaN substrate

    KAUST Repository

    Shen, Chao

    2015-10-06

    In summary, we demonstrated the monolithic integration of electroabsorption modulator with laser diode and measured DC and AC modulation characteristics of the device, which is grown on (2021̅) plane GaN substrate. By alternating the modulation voltage at −3.5 V and 0 V, we achieve the laser output power of < 1.5 mW to > 9 mW, respectively, leading to ∼8.1 dB On/Off ratio. Our results clearly show that a low power consumption modulator can be achieved with semipolar EA-modulator compared to that of the c-plane devices.

  11. Low modulation bias InGaN-based integrated EA-modulator-laser on semipolar GaN substrate

    KAUST Repository

    Shen, Chao; Leonard, John; Pourhashemi, Arash; Oubei, Hassan M.; Alias, Mohd Sharizal; Ng, Tien Khee; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.; Alyamani, Ahmed Y.; Eldesouki, Munir M.; Ooi, Boon S.

    2015-01-01

    In summary, we demonstrated the monolithic integration of electroabsorption modulator with laser diode and measured DC and AC modulation characteristics of the device, which is grown on (2021̅) plane GaN substrate. By alternating the modulation voltage at −3.5 V and 0 V, we achieve the laser output power of < 1.5 mW to > 9 mW, respectively, leading to ∼8.1 dB On/Off ratio. Our results clearly show that a low power consumption modulator can be achieved with semipolar EA-modulator compared to that of the c-plane devices.

  12. Polycrystalline GaN layer recrystallization by metal-induced method during the baking process

    Energy Technology Data Exchange (ETDEWEB)

    Jagoda, A.; Stanczyk, B.; Dobrzanski, L.; Diduszko, R. [Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw 118 (Poland)

    2007-04-15

    Radio frequency reactive sputtering was used to produce gallium nitride films on thermally oxidized silicon substrates at room temperature. Metallic Ga (purity 6N) was used as the target, N{sub 2} and Ar were utilized as sputtering gases. Amorphous GaN was obtained by metal-induced crystallization with a Ni assistance. The nickel particles were scattered onto the surface by rf sputtering and their density was 2 x 10{sup 14} atoms/cm{sup 2} or 4 x 10{sup 14} atoms/cm{sup 2}, which corresponds to 0.02 nm and 0.04 nm thick layer. These values are less than a monolayer thickness, so they are not continuous. Samples were annealed at 700 C for 3 h and at 900 C for 5 min in a RTP furnace. The 2.5 {mu}m GaN layers grown on the Ni-coated SiO{sub 2} surface recrystallized during annealing forming crystals of (002) orientation. The catalytic regrowth mechanism of GaN is discussed on the basis of experimental results. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  14. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  15. Planar intrinsic Josephson junctions with in-plane aligned YBCO films

    CERN Document Server

    Zhang, L; Kobayashi, T; Goto, T; Mukaida, M

    2002-01-01

    Planar type devices were fabricated by patterning in-plane aligned YBa sub 2 Cu sub 3 O sub 7 sub - subdelta (YBCO) films. The current-voltage characteristics along the c-axis at various temperatures and oxygen contents were measured. The current voltage curves showing supercurrent and hysteresis were obtained for the samples annealed at an oxygen pressure of 1.3 x 10 sup 4 Pa, while the supercurrent and hysteresis became smaller and even disappeared as the oxygen pressure decreased. The relationships between the critical currents and temperatures are similar to those of d-wave superconducting tunnel junctions. These results indicate the formation of stacks of intrinsic Josephson junctions, which are useful for developing high-frequency electron devices.

  16. Planar intrinsic Josephson junctions with in-plane aligned YBCO films

    International Nuclear Information System (INIS)

    Zhang, L; Moriya, M; Kobayashi, T; Goto, T; Mukaida, M

    2002-01-01

    Planar type devices were fabricated by patterning in-plane aligned YBa 2 Cu 3 O 7-δ (YBCO) films. The current-voltage characteristics along the c-axis at various temperatures and oxygen contents were measured. The current voltage curves showing supercurrent and hysteresis were obtained for the samples annealed at an oxygen pressure of 1.3 x 10 4 Pa, while the supercurrent and hysteresis became smaller and even disappeared as the oxygen pressure decreased. The relationships between the critical currents and temperatures are similar to those of d-wave superconducting tunnel junctions. These results indicate the formation of stacks of intrinsic Josephson junctions, which are useful for developing high-frequency electron devices

  17. Microstructure of laterally overgrown GaN layers

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Cherns, David

    2001-01-01

    Transmission electron microscopy study of plan-view and cross-section samples of epitaxial laterally overgrown (ELOG) GaN samples is described. Two types of dislocation with the same type of Burgers vector but different line direction have been observed. It is shown that threading edge dislocations bend to form dislocation segments in the c plane as a result of shear stresses developed in the wing material along the stripe direction. It is shown that migration of these dislocations involves both glide and climb. Propagation of threading parts over the wing area is an indication of high density of point defects present in the wing areas on the ELOG samples. This finding might shed light on the optical properties of such samples. [copyright] 2001 American Institute of Physics

  18. Raman scattering in GaN, AlN and AlGaN. Basic material properties, processing and devices

    International Nuclear Information System (INIS)

    Hayes, J.M.

    2002-05-01

    GaN, AIN and AIGaN are very promising materials for high-power, high-temperature and high-frequency electronic device applications but many of their material properties and the effects of processing steps for device fabrication have not yet been fully investigated. AIGaN/GaN films were annealed at temperatures of 800 to 1300 deg C in different ambient atmospheres. The films were then analysed by micro-Raman spectroscopy. Compressive stress was found in films annealed in oxygen containing atmospheres which was significantly enhanced by the presence of water vapour in the annealing atmosphere. No stress was detected after annealing in nitrogen even at temperatures close to the thermal decomposition temperature and in the presence of water vapour. Thermal decomposition can be prevented by the use of high-pressure atmospheres during annealing. Mg/P implanted and non-implanted GaN films annealed at temperatures up to 1500 deg C with nitrogen over-pressures of 1-1.5 GPa were analysed by micro-Raman spectroscopy. Annealing temperatures of 1400-1500 deg C resulted in the nearly full recovery of the crystalline quality of the ion-implanted GaN. Ultraviolet Raman spectroscopy showed that no significant surface degradation occurred during the annealing. High-quality bulk AIN crystals were studied by micro-Raman spectroscopy. The pressure dependence of the phonon frequencies was measured in the range 0 GPa to 9.5 GPa determining the mode-Grueneisen parameters. The temperature dependence of the phonon frequencies and lifetimes was measured from 10 K to 1275 K. Empirical fitting and theoretical modelling of the temperature dependence was performed. The results have application for the monitoring of temperature in (Ga/AI)N. The E 2 (high) phonon frequency of GaN measured by micro-Raman spectroscopy was used to monitor local temperatures in active AIGaN/GaN hetero-structure field effect transistor devices (HFETs). The temperature rise in the active area of devices on sapphire

  19. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  20. A Frontal Attack on Limiting Defects in GaN

    National Research Council Canada - National Science Library

    Morkoc, Hadis

    2002-01-01

    GaN community, particularly under the leadership of Drs. Wood, Win, and Litton, recognized that it is imperative that the extended, and point defects in GaN and related materials, and the mechanisms for their formation are understood...

  1. The Formation and Characterization of GaN Hexagonal Pyramids

    Science.gov (United States)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  2. Growth and characterization of InAlN layers nearly lattice-matched to GaN

    International Nuclear Information System (INIS)

    Manuel, J.M.; Morales, F.M.; Lozano, J.G.; Garcia, R.; Lim, T.; Kirste, L.; Aidam, R.; Ambacher, O.

    2011-01-01

    A set of InxAl1-xN films lattice-matched (LM) to GaN/sapphire substrates were grown by molecular beam epitaxy (MBE) and studied using X-ray diffraction and transmission electron microscopy with the aim of implementing barrier and channels in high electron mobility transistors (HEMTs). Although all InAlN epilayers grow pseudomorphic to GaN, two sublayers with different compositions formed when a direct deposition onto the bare GaN buffer was carried out. On the other hand, heterostructures having single-layered In∝0.18Al∝0.82N are achieved when a spacer consisting of an AlN interlayer or an AlN/GaN/AlN stack is placed between the InAlN and the buffer. These spacers not only yield a better compositional and structural homogeneity of the InAlN, but also improve electrical properties with respect to HEMT applications. Compared to one single AlN interlayer, the use of a triple AlN/GaN/AlN multilayer further improves the structural quality of the InAlN film (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  4. A Dual-Plane PIV Study of Turbulent Heat Transfer Flows

    Science.gov (United States)

    Wernet, Mark P.; Wroblewski, Adam C.; Locke, Randy J.

    2016-01-01

    Thin film cooling is a widely used technique in turbomachinery and rocket propulsion applications, where cool injection air protects a surface from hot combustion gases. The injected air typically has a different velocity and temperature from the free stream combustion flow, yielding a flow field with high turbulence and large temperature differences. These thin film cooling flows provide a good test case for evaluating computational model prediction capabilities. The goal of this work is to provide a database of flow field measurements for validating computational flow prediction models applied to turbulent heat transfer flows. In this work we describe the application of a Dual-Plane Particle Image Velocimetry (PIV) technique in a thin film cooling wind tunnel facility where the injection air stream velocity and temperatures are varied in order to provide benchmark turbulent heat transfer flow field measurements. The Dual-Plane PIV data collected include all three components of velocity and all three components of vorticity, spanning the width of the tunnel at multiple axial measurement planes.

  5. Aortic stentgraft movement detection using digital roentgen stereophotogrammetric analysis on plane film radiographs - initial results of a phantom study

    International Nuclear Information System (INIS)

    Georg, C.; Welker, V.; Eidam, H.; Alfke, H.

    2005-01-01

    Purpose: To evaluate the feasibility of aortic stentgraft micromovement detection using digital roentgen stereophotogrammetric analysis on plane film radiographs. Material and Methods: An aortic stentgraft used for demonstration purposes was marked with 10 tantalum markers of 0.8 mm in diameter. The stentgraft was placed on a Plexiglas phantom with 5 tantalum markers of 1 mm in diameter simulating a fixed segment needed for mathematical analysis. In a subsequent step, the stentgraft was placed onto an orthopaedic spine model to simulate in vivo conditions in a next step.Two radiographs taken simultaneously from different angles were used for simulating different stentgraft movement, e.g. translation, angulation, aortic pulsation and migration in the spine model. Movement of the stentgraft markers was analysed using a commercially available digital RSA setup (UmRSA registered 4.1, RSA Biomedical, Umea, Sweden). Results: Our study shows the feasibility of measuring aortic stentgraft movement and changes in stentgraft shape in the submillimeter range using digital roentgen stereophotogrammetric analysis. Translation along the 3 cardinal axes, change in stentgraft shape, simulation of aortic pulsation and simulation of in vivo conditions could be described precisely. Conclusion: Aortic stentgraft movement detection using digital roentgen stereophotogrammetric analysis on plane film radiographs is a very promising, precise method. (orig.)

  6. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. In-plane conductance of thin films as a probe of surface chemical environment: Adsorbate effects on film electronic properties of indium tin oxide and gold

    Science.gov (United States)

    Swint, Amy Lynn

    Changes in the in-plane conductance of conductive thin films are observed as a result of chemical adsorption at the surface. Reaction of the indium tin oxide (ITO) surface with Bronsted acids (bases) leads to increases (decreases) in its in-plane conductance as measured by a four-point probe configuration. The conductance varies monotonically with pH suggesting that the degree of surface protonation or hydroxylation controls the surface charge density, which in turn affects the width of the n-type depletion layer, and ultimately the in-plane conductance. Measurements at constant pH with a series of tetraalkylammonium hydroxide species of varying cation size indicate that surface dipoles also affect ITO conductance by modulating the magnitude of the surface polarization. Modulating the double layer with varying aqueous salt solutions also affects ITO conductance, though not to the same degree as strong Bronsted acids and bases. Solvents of varying dielectric constant and proton donating ability (ethanol, dimethylformamide) decrease ITO conductance relative to H2O. In addition, changing solvent gives rise to thermally-derived conductance transients, which result from exothermic solvent mixing. The self-assembly of alkanethiols at the surface increases the conductance of ITO films, most likely through carrier population effects. In all cases examined the combined effects of surface charge, adsorbed dipole layer magnitude and carrier injection are responsible for altering the ITO conductance. Besides being directly applicable to the control of electronic properties, these results also point to the use of four-point probe resistance measurements in condensed phase sensing applications. Ultrasensitive conductance-based gas phase sensing of organothiol adsorption to gold nanowires is accomplished with a limit of detection in the 105 molecule range. Further refinement of the inherently low noise resistance measurement may lead to observation of single adsorption events at

  8. Leakage current analysis for dislocations in Na-flux GaN bulk single crystals by conductive atomic force microscopy

    Science.gov (United States)

    Hamachi, T.; Takeuchi, S.; Tohei, T.; Imanishi, M.; Imade, M.; Mori, Y.; Sakai, A.

    2018-04-01

    The mechanisms associated with electrical conduction through individual threading dislocations (TDs) in a Na-flux GaN crystal grown with a multipoint-seed-GaN technique were investigated by conductive atomic force microscopy (C-AFM). To focus on individual TDs, dislocation-related etch pits (DREPs) were formed on the Na-flux GaN surface by wet chemical etching, after which microscopic Pt electrodes were locally fabricated on the DREPs to form conformal contacts to the Na-flux GaN crystal, using electron beam assisted deposition. The C-AFM data clearly demonstrate that the leakage current flows through the individual TD sites. It is also evident that the leakage current and the electrical conduction mechanism vary significantly based on the area within the Na-flux GaN crystal where the TDs are formed. These regions include the c-growth sector (cGS) in which the GaN grows in the [0001 ] direction on top of the point-seed with a c-plane growth front, the facet-growth sector (FGS) in which the GaN grows with {10 1 ¯ 1 } facets on the side of the cGS, the boundary region between the cGS and FGS (BR), and the coalescence boundary region between FGSs (CBR). The local current-voltage (I-V) characteristics of the specimen demonstrate space charge limited current conduction and conduction related to band-like trap states associated with TDs in the FGS, BR, and CBR. A detailed analysis of the I-V data indicates that the electrical conduction through TDs in the cGS may proceed via the Poole-Frenkel emission mechanism.

  9. Gan-Hang tectonic belt and its geologic significance

    International Nuclear Information System (INIS)

    Deng Jiarui; Zhang Zhiping.

    1989-01-01

    Gan-Hang tectonic belt is predominantly controlled by Gan-Hang fracture zone. It is mainly composed of Yongfeng-Zhuji downwarping zone, Gan-Hang volcanic activity structural belt and Gan-Hang red basin downfaulted zone. Gan-Hang fracture zone is derived from evolution and development of Shaoxing-Jiangshan deep fracture. It is mainly composed of three deep and large fracture and Fuzhou-Yongfeng large fracture. The fracture zone is a long active belt, but in each active period the geologic structural patterns intensity, depth and forming time were not same. Gan-Hang tectonic belt possesses obvious inheritance. It has always maintained the character of the relative depression or low land since the Caledonian movement. This specific structural environment is favourable for uranium mineralization. At any rate, the formation of this uranium minerogenetic zone has been experiencing a long and complicated processes which were closely associated with long activity of Gan-Hang fracture zone

  10. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  11. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  12. Reduction of basal plane defects in (11-22) semipolar InGaN/GaN MQWs fabricated on patterned (113) Si substrates by introducing AlGaN barrier layers

    Energy Technology Data Exchange (ETDEWEB)

    Uesugi, Kenjiro; Hikosaka, Toshiki; Ono, Hiroshi; Sakano, Tatsunori; Nunoue, Shinya [Corporate Research and Development Center, Toshiba Corporation, Kawasaki (Japan)

    2017-08-15

    GaN grown on nonpolar or semipolar faces have been widely developed as a promising material for the next generation optical and electronic devices. In this work, (11-22) semipolar InGaN/GaN MQWs were grown on patterned (113) Si substrates and fabricated into thin-film-type flip-chip LEDs. From CL and TEM measurement, generation of basal plane defects (BPDs) around MQWs and Strain-relaxation layers (SRLs) has been observed. The relationship between MQW structures and formation of BPDs has been investigated. By optimizing MQW structures, light output power and external quantum efficiency have been improved with thick InGaN well layers and GaN barrier layers. Introducing AlGaN barrier layers has enabled further reduction of BPDs in MQWs and, as a result, an enhancement of EQE has been achieved. The maximum EQE value of the sample with AlGaN barrier layers was 12.9%.This result indicates that the reduction of BPDs is an effective approach for obtaining the high-efficiency semipolar LEDs on Si substrates. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Impact ionization of excitons in electric field of GaN and quantum wells of GaN/AlGaN

    International Nuclear Information System (INIS)

    Nel'son, D.K.; Yakobson, M.A.; Kagan, V.D.; Gil, B.; Grandjean, N.; Beaumont, B.; Massier, J.; Gibart, P.

    2001-01-01

    The effect of the exciton states impact ionization in the GaN exploit films and in the GaN/AlGaN structures with quantum wells is studied. The study was carried out through the optical method, based on the exciton photoluminescence quenching by applying the electric field. It is established that in the process of the electrons relaxation by energy and pulse the scattering on the admixtures prevails over the scattering on the acoustic phonons. The average length of the hot electrons free run is evaluated. The average length of the hot electrons free run in the GaN/AlGaN wells proved to be by the value order higher than in the GaN epitaxial films, which is conditioned by decrease in the probability of the electrons scattering in the two-dimensional case [ru

  14. Back Radiation Suppression through a Semitransparent Ground Plane for a mm-Wave Patch Antenna

    KAUST Repository

    Klionovski, Kirill; Shamim, Atif

    2017-01-01

    by a round semitransparent ground plane. The semitransparent ground plane has been realized using a low-cost carbon paste on a Kapton film. Experimental results match closely with those of simulations and validate the overall concept.

  15. Power-Combined GaN Amplifier with 2.28-W Output Power at 87 GHz

    Science.gov (United States)

    Fung, King Man; Ward, John; Chattopadhyay, Goutam; Lin, Robert H.; Samoska, Lorene A.; Kangaslahti, Pekka P.; Mehdi, Imran; Lambrigtsen, Bjorn H.; Goldsmith, Paul F.; Soria, Mary M.; hide

    2011-01-01

    Future remote sensing instruments will require focal plane spectrometer arrays with higher resolution at high frequencies. One of the major components of spectrometers are the local oscillator (LO) signal sources that are used to drive mixers to down-convert received radio-frequency (RF) signals to intermediate frequencies (IFs) for analysis. By advancing LO technology through increasing output power and efficiency, and reducing component size, these advances will improve performance and simplify architecture of spectrometer array systems. W-band power amplifiers (PAs) are an essential element of current frequency-multiplied submillimeter-wave LO signal sources. This work utilizes GaN monolithic millimeter-wave integrated circuit (MMIC) PAs developed from a new HRL Laboratories LLC 0.15- m gate length GaN semiconductor transistor. By additionally waveguide power combining PA MMIC modules, the researchers here target the highest output power performance and efficiency in the smallest volume achievable for W-band.

  16. Growth and characterization of GaN nanostructures under various ammoniating time with fabricated Schottky gas sensor based on Si substrate

    Science.gov (United States)

    Abdullah, Q. N.; Ahmed, A. R.; Ali, A. M.; Yam, F. K.; Hassan, Z.; Bououdina, M.; Almessiere, M. A.

    2018-05-01

    This paper presents the investigation of the influence of the ammoniating time of GaN nanowires (NWs) on the crystalline structure, surface morphology, and optical characteristics. Morphological analysis indicates the growth of good quality and high density of NWs with diameters around 50 nm and lengths up to tens of microns after ammoniating for 30 min. Structural analysis shows that GaN NWs have a typical hexagonal wurtzite crystal structure. Raman spectroscopy confirms the formation of GaN compound with the presence of compressive stress. Photoluminescence (PL) measurements revealed two band emissions, an UV and a broad visible emission. Hydrogen sensor was subsequently fabricated by depositing Pt Schottky contact onto GaN NWs film. The sensor response was measured at various H2 concentrations ranged from 200 up to 1200 ppm at room temperature. It was found that the response increases significantly for low H2 concentration (200-300 ppm) to reach about 50% then increases smoothly to reach 60% at 1200 ppm. The as-fabricated sensor possesses higher performances as compared to similar devices reported in the literature.

  17. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  18. In-plane angular dependence of the spin-wave nonreciprocity of an ultrathin film with Dzyaloshinskii-Moriya interaction

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Vanessa Li; Di, Kai; Lim, Hock Siah; Ng, Ser Choon; Kuok, Meng Hau, E-mail: phykmh@nus.edu.sg [Department of Physics, National University of Singapore, Singapore 117551 (Singapore); Yu, Jiawei; Yoon, Jungbum; Qiu, Xuepeng; Yang, Hyunsoo, E-mail: eleyang@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore)

    2015-07-13

    The nonreciprocal propagation of spin waves in an ultrathin Pt/Co/Ni film has been measured by Brillouin light scattering. The frequency nonreciprocity, due to the interfacial Dzyaloshinskii-Moriya interaction (DMI), has a sinusoidal dependence on the in-plane angle between the magnon wavevector and the applied magnetic field. The results, which are in good agreement with analytical predictions reported earlier, yield a value of the DMI constant which is the same as that obtained previously from a study of the magnon dispersion relations. We have demonstrated that our magnon-dynamics based method can experimentally ascertain the DMI constant of multilayer thin films.

  19. Cathodoluminescence studies of defects and piezoelectric fields in GaN

    International Nuclear Information System (INIS)

    Henley, S.

    2002-01-01

    200nm at low electron beam irradiation doses to 330nm after higher dose irradiation in the SEM. It is proposed that the change in the MCDL and the prolonged nature of the blue shift of the QW CL peak can be explained by the filling of trap states in the vicinity of the QW. It is suggested that these trap states take the form of fluctuations in the In content of the QW. An epitaxial laterally overgrown GaN sample (ELOG) was studied using similar techniques employed on the InGaN/GaN SQW. ELOG films are often used commercially as substrates for blue and violet GaN based LDs. The ELOG sample was shown to have a significantly reduced threading dislocation density in the overgrown regions. It was shown that the reduction in dislocation density resulted in an improved luminescence efficiency. The technique was non-ideal however, as the coalescence boundary of the ELO regions was shown to be a tilt-boundary described by the generation of threading dislocations. Some of these dislocations were observed to generate in-plane dislocation half-loops, which moved under the influence of the stress present. These dislocation half loops were shown to act as non-radiative recombination centres. (author)

  20. Growth of GaN nanostructures with polar and semipolar orientations for the fabrication of UV LEDs

    Science.gov (United States)

    Brault, Julien; Damilano, Benjamin; Courville, Aimeric; Leroux, Mathieu; Kahouli, Abdelkarim; Korytov, Maxim; Vennéguès, Philippe; Randazzo, Gaetano; Chenot, Sébastien; Vinter, Borge; De Mierry, Philippe; Massies, Jean; Rosales, Daniel; Bretagnon, Thierry; Gil, Bernard

    2014-03-01

    (Al,Ga)N light emitting diodes (LEDs), emitting over a large spectral range from 360 nm (GaN) down to 210 nm (AlN), have been successfully fabricated over the last decade. Clear advantages compared to the traditional mercury lamp technology (e.g. compactness, low-power operation, lifetime) have been demonstrated. However, LED efficiencies still need to be improved. The main problems are related to the structural quality and the p-type doping efficiency of (Al,Ga)N. Among the current approaches, GaN nanostructures, which confine carriers along both the growth direction and the growth plane, are seen as a solution for improving the radiative recombination efficiency by strongly reducing the impact of surrounding defects. Our approach, based on a 2D - 3D growth mode transition in molecular beam epitaxy, can lead to the spontaneous formation of GaN nanostructures on (Al,Ga)N over a broad range of Al compositions. Furthermore, the versatility of the process makes it possible to fabricate nanostructures on both (0001) oriented "polar" and (11 2 2) oriented "semipolar" materials. We show that the change in the crystal orientation has a strong impact on the morphological and optical properties of the nanostructures. The influence of growth conditions are also investigated by combining microscopy (SEM, TEM) and photoluminescence techniques. Finally, their potential as UV emitters will be discussed and the performances of GaN / (Al,Ga)N nanostructure-based LED demonstrators are presented.

  1. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  2. Impact of defects on the electrical transport, optical properties and failure mechanisms of GaN nanowires.

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, Andrew M.; Aubry, Sylvie; Shaner, Eric Arthur; Siegal, Michael P.; Li, Qiming; Jones, Reese E.; Westover, Tyler; Wang, George T.; Zhou, Xiao Wang; Talin, Albert Alec; Bogart, Katherine Huderle Andersen; Harris, C. Thomas; Huang, Jian Yu

    2010-09-01

    We present the results of a three year LDRD project that focused on understanding the impact of defects on the electrical, optical and thermal properties of GaN-based nanowires (NWs). We describe the development and application of a host of experimental techniques to quantify and understand the physics of defects and thermal transport in GaN NWs. We also present the development of analytical models and computational studies of thermal conductivity in GaN NWs. Finally, we present an atomistic model for GaN NW electrical breakdown supported with experimental evidence. GaN-based nanowires are attractive for applications requiring compact, high-current density devices such as ultraviolet laser arrays. Understanding GaN nanowire failure at high-current density is crucial to developing nanowire (NW) devices. Nanowire device failure is likely more complex than thin film due to the prominence of surface effects and enhanced interaction among point defects. Understanding the impact of surfaces and point defects on nanowire thermal and electrical transport is the first step toward rational control and mitigation of device failure mechanisms. However, investigating defects in GaN NWs is extremely challenging because conventional defect spectroscopy techniques are unsuitable for wide-bandgap nanostructures. To understand NW breakdown, the influence of pre-existing and emergent defects during high current stress on NW properties will be investigated. Acute sensitivity of NW thermal conductivity to point-defect density is expected due to the lack of threading dislocation (TD) gettering sites, and enhanced phonon-surface scattering further inhibits thermal transport. Excess defect creation during Joule heating could further degrade thermal conductivity, producing a viscous cycle culminating in catastrophic breakdown. To investigate these issues, a unique combination of electron microscopy, scanning luminescence and photoconductivity implemented at the nanoscale will be used in

  3. Optical investigation of strain in Si-doped GaN films

    International Nuclear Information System (INIS)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-01-01

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E 2 mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5x10 18 cm -3 both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. [copyright] 2001 American Institute of Physics

  4. Growth of Ga{sub 2}O{sub 3} by furnace oxidation of GaN studied by perturbed angular correlations

    Energy Technology Data Exchange (ETDEWEB)

    Steffens, Michael, E-mail: michael.steffens@int.fraunhofer.de [Fraunhofer Institute for Technological Trend Analysis INT (Germany); Vianden, Reiner [Helmholtz - Institut für Strahlen- und Kernphysik der Universität Bonn (Germany); Pasquevich, Alberto F. [Universidad Nacional de La Plata, Departamento de Física, IFLP, Facultad de Ciencias Exactas (Argentina)

    2016-12-15

    Ga{sub 2}O{sub 3} is a promising material for use in “solar-blind” UV-detectors which can be produced efficiently by oxidation of GaN. In this study we focus on the evolution of the oxide layer when GaN is heated in air. The experimental method applied is the perturbed angular correlation (PAC) spectroscopy of γ-rays emitted by radioactive nuclides, here {sup 111}Cd and {sup 181}Ta, whose parent nuclei are ion implanted into films of GaN grown on sapphire. As the emission pattern for nuclei in GaN is clearly distinct from that of nuclei in Ga{sub 2}O{sub 3}, the fraction of probe nuclei in the oxide layer can be directly measured and allows to follow the time dependent growth of the oxide on a scale of less than 100 nm. Additional measurements were carried out with the oxidized sample held at fixed temperatures in the temperature range from 19 K to 973 K showing transitions between the hyperfine interactions of {sup 111}Cd in the oxide matrix both at high and low temperatures. A model for these transitions is proposed.

  5. Growth and in-plane magnetic anisotropy of inverse spinel Co{sub 2}MnO{sub 4} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Koo, Taeyeong; Kim, Jaeyeong [Pohang University of Science and Technology, Pohang (Korea, Republic of); Song, Jonghyun [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    Epitaxial Co{sub 2}MnO{sub 4} thin films were grown on Nb(0.1wt.)-doped SrTiO{sub 3} single-crystal substrates with (100) and (110) crystal orientations by using pulsed laser deposition. Their crystal structures and magnetic properties were investigated. Both samples exhibited ferrimagnetic transitions with enhanced transition temperatures. Isotropic M-H loops were observed on the in-plane surface of Co{sub 2}MnO{sub 4}(00l) grown on Nb(0.1wt)-doped SrTiO{sub 3}(100). Strong magnetic anisotropy was observed on the in-plane surface for Co{sub 2}MnO{sub 4} (ll0) grown on Nb(0.1wt)-doped SrTiO{sub 3}(110). A magnetic easy axis existed along the elongated tetragonal direction. This was attributed to the strong interplay between the spin and lattice degrees of freedom in the Co{sub 2}MnO{sub 4} thin film.

  6. Nonlinear dynamics and bifurcation characteristics of shape memory alloy thin films subjected to in-plane stochastic excitation

    International Nuclear Information System (INIS)

    Zhu, Zhi-Wen; Zhang, Qing-Xin; Xu, Jia

    2014-01-01

    A kind of shape memory alloy (SMA) hysteretic nonlinear model was developed, and the nonlinear dynamics and bifurcation characteristics of the SMA thin film subjected to in-plane stochastic excitation were investigated. Van der Pol difference item was introduced to describe the hysteretic phenomena of the SMA strain–stress curves, and the nonlinear dynamic model of the SMA thin film subjected to in-plane stochastic excitation was developed. The conditions of global stochastic stability of the system were determined in singular boundary theory, and the probability density function of the system response was obtained. Finally, the conditions of stochastic Hopf bifurcation were analyzed. The results of theoretical analysis and numerical simulation indicate that self-excited vibration is induced by the hysteretic nonlinear characteristics of SMA, and stochastic Hopf bifurcation appears when the bifurcation parameter was changed; there are two limit cycles in the stationary probability density of the dynamic response of the system in some cases, which means that there are two vibration amplitudes whose probabilities are both very high, and jumping phenomena between the two vibration amplitudes appear with the change in conditions. The results obtained in this current paper are helpful for the application of the SMA thin film in stochastic vibration fields. - Highlights: • Hysteretic nonlinear model of shape memory alloy was developed. • Van der Pol item was introduced to interpret hysteretic strain–stress curves. • Nonlinear dynamic characteristics of the shape memory alloy film were analyzed. • Jumping phenomena were observed in the change of the parameters

  7. Transmission electron microscopy of GaN based, doped semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pretorius, A.

    2006-07-01

    This thesis addresses the analysis of GaN based heterostructures with transmission electron microscopy (TEM). Basic properties of the material of interest are introduced in chapter 2. These include the structural and optical properties as well as an introduction to the growth methods used for the samples analysed in this work. In chapter 3 a brief theoretical treatment of TEM is given. As one main topic of this work is the determination of the In concentration in InGaN islands using strain state analysis, a detailed description of the method is given. Chapter 4 describes the results obtained for pyramidal defects present in metalorganic vapour phase epitaxy grown GaN:Mg with high dopant concentration. Based on the experimental results and the well established knowledge that GaN of inverted polarity is present inside the pyramidal defects, a variety of basal plane inversion domain boundary models was set up. From these models, HRTEM images were simulated using the multislice approach, followed by a quantitative comparison to experimentally obtained HRTEM images. Another focus of this work is the analysis of In{sub x}Ga{sub 1-x}N islands grown on GaN presented in chapter 5. Following a literature survey which describes different methods used to obtain In{sub x}Ga{sub 1-x}N islands, the first topic is the distinction of In{sub x}Ga{sub 1-x}N islands and metal droplets, which can form during growth. This is followed by the experimental results of molecular beam epitaxy and metalorganic vapour phase epitaxy grown In{sub x}Ga{sub 1-x}N island and quantum dot samples. (orig.)

  8. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  9. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  10. Magnetic anisotropies in SmCo thin films

    International Nuclear Information System (INIS)

    Chen, K.

    1993-01-01

    A systemic study of the deposition processes and magnetic properties for the Sm-Co film system has been carried out. Films of Sm-Co system with various magnetic anisotropies have been synthesized through sputter deposition in both crystalline and amorphous phases. The origins of various anisotropies have been studied. Thermalized sputter deposition process control was used to synthesize Fe enriched Sm-Co films with rhombohedral Th 2 Zn 17 type structure. The film exhibited unusually strong textures with the crystallographic c axes of the crystallites aligned in the film plane. A large anisotropy was resulted with easy axis in the film plane. A well defined and large in-the-film-plane anisotropy of exceptionally high value of 3.3 x 10 6 erg/cm 3 has been obtained in the amorphous SmCo films by applying a magnetic field in the film plane during deposition. It was found that the in-the-film-plane anisotropy depended essentially on the applied field and Sm concentration. For films not synthesized through thermallized sputtering, the easy axis of the film could reoriented. A perpendicular anisotropy was also presented in the film synthesized through thermallized sputtering deposition. A large in-plane anisotropy was obtained in films deposited above ambient temperatures. It was concluded that the surface induced short range ordering was the origin of the in-the-film-phase anisotropy observed in amorphous film deposited in the presence of a magnetic field. The formation mechanism was different from that of the short range ordering induced by field annealing. The perpendicular anisotropy was shown to be growth induced. Large in-plane anisotropy in amorphous films was resulted form partial crystallization in the film. Both the formation of growth induced structure and partial crystallization in the film prevented the formation of the pair ordering and decreased in-the-film-plane anisotropy

  11. Improvement of the surface morphology of a-plane InN using low-temperature InN buffer layers

    International Nuclear Information System (INIS)

    Shikata, G.; Hirano, S.; Inoue, T.; Hijikata, Y.; Orihara, M.; Yaguchi, H.; Yoshida, S.

    2008-01-01

    We report on the improvement of the surface morphology of a-plane InN films grown by RF molecular beam epitaxy. By using low-temperature (LT) InN buffer layers, we could successfully obtain InN films with a smooth surface. The full width at half maximum values of the X-ray diffraction (11-20) rocking curve along the [0001]InN direction were 2870 arcsec and 3410 arcsec for a-plane InN samples grown at 500 C with and without LT-InN buffer layers, respectively. Thus, we could improve also the crystalline quality of a-plane InN films by using LT-InN buffer layers. We observed strong polarization anisotropy in the photoluminescence spectra of a-plane InN, which is typical of nonpolar wurtzite III-nitride films. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Forward volume and surface magnetostatic modes in an yttrium iron garnet film for out-of-plane magnetic fields: Theory and experiment

    Science.gov (United States)

    Lim, Jinho; Bang, Wonbae; Trossman, Jonathan; Amanov, Dovran; Ketterson, John B.

    2018-05-01

    We present experimental and theoretical results on the propagation of magnetostatic spin waves in a film of yttrium iron garnet (YIG) for out-of-plane magnetic fields for which propagation in opposite directions is nonreciprocal in the presence of a metal layer. The plane studied is defined by the film normal n and n × k where k is the wave vector of the mode. Spin waves in this setting are classified as forward volume waves or surface waves and display non-reciprocity in the presence of an adjacent metal layer except for when H//n. The measurements are carried out in a transmission geometry, and a microwave mixer is used to measure the change of phase, and with it the evolution of wavevector, of the arriving spin wave with external magnetic field.

  13. Growth of M-plane (10-10)InN on LiAlO2(100) substrate

    International Nuclear Information System (INIS)

    Takagi, Yusuke; Muto, Daisuke; Araki, Tsutomu; Nanishi, Yasushi; Yamaguchi, Tomohiro

    2009-01-01

    In this study, we report the growth and characterization of M-plane InN films on LiAlO 2 (100) substrates by radio-frequency plasma assisted molecular beam epitaxy (RF-MBE). InN films were grown at various temperatures and under various V/III ratios on the substrates. Pure M -plane InN films were successfully grown at a high temperature of 450 C and under a slightly In-rich condition, while the incorporation of C-plane phase was observed in M -plane InN films grown at low temperatures of less than 400 C or under a N-rich condition. These indicate that controls of growth temperature and V/III ratio are important for the growth of pure M-plane InN films. The in-plane epitaxial relationships of M -plane InN on LiAlO 2 (100) were[0001] InN //[010] LiAlO 2 and[1-210] InN //[001] LiAlO 2 . A surface electron accumulation layer on the obtained M-plane InN film is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  15. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  16. Zn doped GaN for single-photon emission

    Energy Technology Data Exchange (ETDEWEB)

    Behrends, Arne; Ledig, Johannes; Al-Suleiman, Mohamed Aid Mansur; Bakin, Andrey; Waag, Andreas [Institute of Semiconductor Technology, University of Technology Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Peters, Silke; Racu, Ana Maria; Schmunk, Waldemar; Hofer, Helmut; Kueck, Stefan [Physikalisch Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany)

    2012-03-15

    In this work we report on the optical investigation of Zn doped GaN films fabricated by metal organic chemical vapor deposition. The samples show bright emission in the blue spectral range around 2.9 eV when Si codoping is provided. This emission is suggested to be used for single-photon emission, thus the density of the Zn-Si pairs was drastically reduced leading to a decrease of the blue luminescence. For electrically excited single-photon sources these Zn-Si pairs have to be incorporated into LEDs, therefore we fabricated GaN-based nano-LEDs which show electroluminescence at 430 nm (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Thinning and rupture of a thin liquid film on a heated surface

    Energy Technology Data Exchange (ETDEWEB)

    Bankoff, S.G.; Davis, S.H.

    1992-08-05

    Results on the dynamics and stability of thin films are summarized on the following topics: forced dryout, film instabilities on a horizontal plane and on inclined planes, instrumentation, coating flows, and droplet spreading. (DLC)

  18. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  19. Optical investigation of strain in Si-doped GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-06-25

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E{sub 2} mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5{times}10{sup 18}cm{sup {minus}3} both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. {copyright} 2001 American Institute of Physics.

  20. Development of In-plane Thermal Conductivity Calculation Methods in Thin Films

    Directory of Open Access Journals (Sweden)

    A. A. Barinov

    2017-01-01

    the thermal conductivity for micro - and nanostructures it is necessary to employ a detailed process description of the phonons propagation for different energies (frequencies and polarizations, and consider the real dispersion relations (velocities of their propagation for each concerned temperature and thickness of the sample.The above recommendations can be used to estimate the in-plane thermal conductivity of thin films when simulating the new structures in advanced semiconductor devices.

  1. Radiographic film orientation in radiotherapy dosimetry

    International Nuclear Information System (INIS)

    Suchowerska, N.; Davison, A.; Drew, J.; Metcalfe, P.

    1996-01-01

    Since the discovery of x-rays, film has been used as a detection medium for radiation. More recently radiographic film has become established as a practical tool for the measurement of dose distribution in radiotherapy. The accuracy and reproducibility of film dosimetry depends on photon energy, processing conditions and film plane orientation. The relationship between photon energy, processing conditions and film dosimetry accuracy has been studied. The role of film plane orientation is still controversial. The current work aims to clarify the effects film plane orientation has on film dosimetry. Poster 205. (author)

  2. A thermodynamic model for predicting surface melting and overheating of different crystal planes in BCC, FCC and HCP pure metallic thin films

    International Nuclear Information System (INIS)

    Jahangir, Vafa; Riahifar, Reza; Sahba Yaghmaee, Maziar

    2016-01-01

    In order to predict as well as study the surface melting phenomena in contradiction to surface overheating, a generalized thermodynamics model including the surface free energy of solid and the melt state along with the interfacial energy of solid–liquid (melt on substrate) has been introduced. In addition, the effect of different crystal structures of surfaces in fcc, bcc and hcp metals was included in surface energies as well as in the atomistic model. These considerations lead us to predict surface melting and overheating as two contradictory melting phenomena. The results of the calculation are demonstrated on the example of Pb and Al thin films in three groups of (100), (110) and (111) surface planes. Our conclusions show good agreement with experimental results and other theoretical investigations. Moreover, a computational algorithm has been developed which enables users to investigate the surface melt or overheating of single component metallic thin film with variable crystal structures and different crystalline planes. This model and developed software can be used for studying all related surface phenomena. - Highlights: • Investigating the surface melting and overheating phenomena • Effect of crystal orientations, surface energies, geometry and different atomic surface layers • Developing a computational algorithm and its related code (free-software SMSO-Ver1) • Thickness and orientation of surface plane dominate the surface melting or overheating. • Total excess surface energy as a function of thickness and temperature explains melting.

  3. A thermodynamic model for predicting surface melting and overheating of different crystal planes in BCC, FCC and HCP pure metallic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jahangir, Vafa, E-mail: vafa.jahangir@yahoo.com; Riahifar, Reza, E-mail: reza_rfr@yahoo.com; Sahba Yaghmaee, Maziar, E-mail: fkmsahba@uni-miskolc.hu

    2016-03-31

    In order to predict as well as study the surface melting phenomena in contradiction to surface overheating, a generalized thermodynamics model including the surface free energy of solid and the melt state along with the interfacial energy of solid–liquid (melt on substrate) has been introduced. In addition, the effect of different crystal structures of surfaces in fcc, bcc and hcp metals was included in surface energies as well as in the atomistic model. These considerations lead us to predict surface melting and overheating as two contradictory melting phenomena. The results of the calculation are demonstrated on the example of Pb and Al thin films in three groups of (100), (110) and (111) surface planes. Our conclusions show good agreement with experimental results and other theoretical investigations. Moreover, a computational algorithm has been developed which enables users to investigate the surface melt or overheating of single component metallic thin film with variable crystal structures and different crystalline planes. This model and developed software can be used for studying all related surface phenomena. - Highlights: • Investigating the surface melting and overheating phenomena • Effect of crystal orientations, surface energies, geometry and different atomic surface layers • Developing a computational algorithm and its related code (free-software SMSO-Ver1) • Thickness and orientation of surface plane dominate the surface melting or overheating. • Total excess surface energy as a function of thickness and temperature explains melting.

  4. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  5. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  6. High-quality InN films on MgO (100) substrates: The key role of 30° in-plane rotation

    International Nuclear Information System (INIS)

    Compeán García, V. D.; López Luna, E.; Rodríguez, A. G.; Vidal, M. A.; Orozco Hinostroza, I. E.; Escobosa Echavarría, A.

    2014-01-01

    High crystalline layers of InN were grown on MgO(100) substrates by gas source molecular beam epitaxy. Good quality films were obtained by means of an in-plane rotation process induced by the annealing of an InN buffer layer to minimize the misfit between InN and MgO. In situ reflection high-energy electron diffraction showed linear streaky patterns along the [011 ¯ 0] azimuth and a superimposed diffraction along the [112 ¯ 0] azimuth, which correspond to a 30° α-InN film rotation. This rotation reduces the mismatch at the MgO/InN interface from 19.5% to less than 3.5%, increasing the structural quality, which was analyzed by high-resolution X-ray diffraction and Raman spectroscopy. Only the (0002) c plane diffraction of α-InN was observed and was centered at 2θ = 31.4°. Raman spectroscopy showed two modes corresponding to the hexagonal phase: E1(LO) at 591 cm −1 and E2(high) at 488 cm −1 . Hall effect measurements showed a carrier density of 9 × 10 18  cm −3 and an electron Hall mobility of 340 cm 2 /(V s) for a film thickness of 140 nm

  7. Manipulation and control of instabilities for surfactant-laden liquid film flowing down an inclined plane using a deformable solid layer

    Science.gov (United States)

    Tomar, Dharmendra S.; Sharma, Gaurav

    2018-01-01

    We analyzed the linear stability of surfactant-laden liquid film with a free surface flowing down an inclined plane under the action of gravity when the inclined plane is coated with a deformable solid layer. For a flow past a rigid incline and in the presence of inertia, the gas-liquid (GL) interface is prone to the free surface instability and the presence of surfactant is known to stabilize the free surface mode when the Marangoni number increases above a critical value. The rigid surface configuration also admits a surfactant induced Marangoni mode which remains stable for film flows with a free surface. This Marangoni mode was observed to become unstable for a surfactant covered film flow past a flexible inclined plane in a creeping flow limit when the wall is made sufficiently deformable. In view of these observations, we investigate the following two aspects. First, what is the effect of inertia on Marangoni mode instability induced by wall deformability? Second, and more importantly, whether it is possible to use a deformable solid coating to obtain stable flow for the surfactant covered film for cases when the Marangoni number is below the critical value required for stabilization of free surface instability. In order to explore the first question, we continued the growth rates for the Marangoni mode from the creeping flow limit to finite Reynolds numbers (Re) and observed that while the increase in Reynolds number has a small stabilizing effect on growth rates, the Marangoni mode still remains unstable for finite Reynolds numbers as long as the wall is sufficiently deformable. The Marangoni mode remains the dominant mode for zero and small Reynolds numbers until the GL mode also becomes unstable with the increase in Re. Thus, for a given set of parameters and beyond a critical Re, there is an exchange of dominant mode of instability from the Marangoni to free surface GL mode. With respect to the second important aspect, our results clearly demonstrate

  8. Control of strain in GaN by a combination of H2 and N2 carrier gases

    International Nuclear Information System (INIS)

    Yamaguchi, Shigeo; Kariya, Michihiko; Kosaki, Masayoshi; Yukawa, Yohei; Nitta, Shugo; Amano, Hiroshi; Akasaki, Isamu

    2001-01-01

    We study the effect of a combination of N 2 and H 2 carrier gases on the residual strain and crystalline properties of GaN, and we propose its application to the improvement of crystalline quality of GaN/Al 0.17 Ga 0.83 N multiple quantum well (MQW) structures. GaN was grown with H 2 or N 2 carrier gas (H 2 - or N 2 - GaN) on an AlN low-temperature-deposited buffer layer. A (0001) sapphire substrate was used. N 2 - GaN was grown on H 2 - GaN. The total thickness was set to be 1.5 μm, and the ratio of N 2 - GaN thickness to the total thickness, x, ranged from 0 to 1. With increasing x, the tensile stress in GaN increased. Photoluminescence intensity at room temperature was much enhanced. Moreover, the crystalline quality of GaN/Al 0.17 Ga 0.83 N MQW was much higher when the MQW was grown with N 2 on H 2 - GaN than when it was grown with H 2 on H 2 - GaN. These results were due to the achievement of control of strain in GaN using a combination of N 2 - GaN and H 2 - GaN. [copyright] 2001 American Institute of Physics

  9. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    Energy Technology Data Exchange (ETDEWEB)

    Long, CL; Del Genio, A; Deng, M; Fu, X; Gustafson, W; Houze, R; Jakob, C; Jensen, M; Johnson, R; Liu, X; Luke, E; May, P; McFarlane, S; Minnis, P; Schumacher, C; Vogelmann, A; Wang, Y; Webster, P; Xie, S; Zhang, C

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJO initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include sonde

  10. The feasibility of tunable p-type Mg doping in a GaN monolayer nanosheet

    International Nuclear Information System (INIS)

    Xia, Congxin; Peng, Yuting; Wei, Shuyi; Jia, Yu

    2013-01-01

    Based on density functional theory, the electronic structures, formation energy and transition energy level of a p-type Mg-doped GaN nanosheet are investigated. Numerical results show that the transition energy level decreases monotonously with increasing Mg doping concentration in Mg-doped GaN nanosheet systems, which is lower than that of the Mg-doped bulk GaN case. Moreover, the formation energy calculations indicate that Mg-doped GaN nanosheet structures can be realized under N-rich experimental growth conditions

  11. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  12. InxGa1− xN fibres grown on Au/SiO2 by chemical vapour deposition

    Indian Academy of Sciences (India)

    A morphological comparison between the InGa1−N and GaN films is shown as a function of the indium incorporation. The highest crystalline InGa1−N films structure was obtained with an indium composition of = 0.20. Also, the preferential growth on the (002) plane over In0.2Ga0.8N was observed by means of ...

  13. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  14. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    Science.gov (United States)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  15. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  16. III-nitride Photonic Integrated Circuit: Multi-section GaN Laser Diodes for Smart Lighting and Visible Light Communication

    KAUST Repository

    Shen, Chao

    2017-04-01

    The past decade witnessed the rapid development of III-nitride light-emitting diodes (LEDs) and laser diodes (LDs), for smart lighting, visible-light communication (VLC), optical storage, and internet-of-things. Recent studies suggested that the GaN-based LDs, which is free from efficiency droop, outperform LEDs as a viable high-power light source. Conventionally, the InGaN-based LDs are grown on polar, c-plane GaN substrates. However, a relatively low differential gain limited the device performance due to a significant polarization field in the active region. Therefore, the LDs grown on nonpolar m-plane and semipolar (2021)-plane GaN substrates are posed to deliver high-efficiency owing to the entirely or partially eliminated polarization field. To date, the smart lighting and VLC functionalities have been demonstrated based on discrete devices, such as LDs, transverse-transmission modulators, and waveguide photodetectors. The integration of III-nitride photonic components, including the light emitter, modulator, absorber, amplifier, and photodetector, towards the realization of III-nitride photonic integrated circuit (PIC) offers the advantages of small-footprint, high-speed, and low power consumption, which has yet to be investigated. This dissertation presents the design, fabrication, and characterization of the multi-section InGaN laser diodes with integrated functionalities on semipolar (2021)-plane GaN substrates for enabling such photonic integration. The blue-emitting integrated waveguide modulator-laser diode (IWM-LD) exhibits a high modulation efficiency of 2.68 dB/V. A large extinction ratio of 11.3 dB is measured in the violet-emitting IWM-LD. Utilizing an integrated absorber, a high optical power (250mW), droop-free, speckle-free, and large modulation bandwidth (560MHz) blue-emitting superluminescent diode is reported. An integrated short-wavelength semiconductor optical amplifier with the laser diode at ~404 nm is demonstrated with a large gain of 5

  17. Digital growth of thick N-polar InGaN films on relaxed InGaN pseudosubstrates

    Science.gov (United States)

    Lund, Cory; Hestroffer, Karine; Hatui, Nirupam; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2017-11-01

    Smooth relaxed N-polar InGaN films were grown by metal-organic CVD (MOCVD) on N-polar InGaN pseudosubstrates (PSs) using a novel digital approach consisting of a constant In precursor flow with the pulsed injection of H2 carrier gas. InGaN layers grown on PSs exhibited an In composition of about 50% higher than those of the layers grown on N-polar GaN templates, assuming the in-plane lattice constant of the relaxed PSs, corresponding to In0.11Ga0.89N. Additionally, the luminescence recorded from InGaN layers grown on PSs at 490 nm was twice as intense as that obtained from the layers deposited on coloaded GaN-on-sapphire templates, which emitted at 430 nm.

  18. Zn-dopant dependent defect evolution in GaN nanowires

    Science.gov (United States)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta

  19. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  20. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.