WorldWideScience

Sample records for tiox dielectric films

  1. Sputter Deposited TiOx Thin-Films as Electron Transport Layers in Organic Solar Cells

    DEFF Research Database (Denmark)

    Mirsafaei, Mina; Bomholt Jensen, Pia; Lakhotiya, Harish

    transparency and favorable energy-level alignment with many commonly used electron-acceptor materials. There are several methods available for fabricating compact TiOx thin-films for use in organic solar cells, including sol-gel solution processing, spray pyrolysis and atomic-layer deposition; however...... of around 7%, by incorporating sputter deposited TiOx thin-films as electron-transport and exciton-blocking layers. In the work, we report on the effect of different TiOx deposition temperatures and thicknesses on the organic-solar-cell device performance. Besides optical characterization, AFM and XRD...... analyses are performed to characterize the morphology and crystal structure of the films, and external quantum efficiency measurements are employed to shed further light on the device performance. Our study presents a novel method for implementation of TiOx thin-films as electron-transport layer in organic...

  2. Influence of non-thermal TiCl{sub 4}/Ar + O{sub 2} plasma-assisted TiOx based coatings on the surface of polypropylene (PP) films for the tailoring of surface properties and cytocompatibility

    Energy Technology Data Exchange (ETDEWEB)

    Pandiyaraj, K.N., E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore 641062 (India); Kumar, A. Arun; Ramkumar, M.C. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore 641062 (India); Sachdev, A.; Gopinath, P. [Nanobiotechnology Laboratory, Centre for Nanotechnology, Indian Institute of Technology Roorkee, Roorkee, Uttarakhand 247667 (India); Cools, Pieter; De Geyter, N.; Morent, R. [Research Unit Plasma Technology (RUPT), Department of Applied Physics, Faculty of Engineering and Architecture, Ghent University, Jozef Plateaustraat 22, 9000 Gent (Belgium); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Hegde, P. [William Mason High School, Mason 45040 (United States); Han, C. [Department of Biomedical, Chemical and Environmental Engineering, University of Cincinnati, Cincinnati, OH 45221-0012 (United States); Nadagouda, M.N. [Center for Nanoscale Multifunctional Materials, Wright State University, Dayton, OH 45435 (United States)

    2016-05-01

    The superior bulk properties (corrosion resistance, high strength to weight ratio, relatively low cost and easy processing) of hydrocarbon based polymers such as polypropylene (PP) have contributed significantly to the development of new biomedical applications such as artificial organs and cell scaffolds. However, low cell affinity is one of the main draw backs for PP due to its poor surface properties. In tissue engineering, physico-chemical surface properties such as hydrophilicity, polar functional groups, surface charge and morphology play a crucial role to enrich the cell proliferation and adhesion. In this present investigation TiOx based biocompatible coatings were developed on the surface of PP films via DC excited glow discharge plasma, using TiCl{sub 4}/Ar + O{sub 2} gas mixture as a precursor. Various TiOx-based coatings are deposited on the surface of PP films as a function of discharge power. The changes in hydrophilicity of the TiO{sub x}/PP film surfaces were studied using contact angle analysis and surface energy calculations by Fowke's approximation. X-ray photo-electron spectroscopy (XPS) was used to investigate the surface chemical composition of TiOx/PP films. The surface morphology of the obtained TiO{sub x}/PP films was investigated by scanning electron and transmission electron microscopy (SEM &TEM). Moreover, the surface topography of the material was analyzed by atomic force microscopy (AFM). The cytocompatibility of the TiO{sub x}/PP films was investigated via in vitro analysis (cell viability, adhesion and cytotoxicity) using NIH3T3 (mouse embryonic fibroblast) cells. Furthermore the antibacterial activities of TiO{sub x}/PP films were also evaluated against two distinct bacterial models namely Gram positive Staphylococcus aureus (S.aureus) and Gram negative Escherichia coli DH5α. (E.coli) bacteria. XPS results clearly indicate the successful incorporation of TiO{sub x} and oxygen containing polar functional groups on the surface

  3. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  4. Nanostructured TiOx as a catalyst support material for proton exchange membrane fuel cells

    Science.gov (United States)

    Phillips, Richard S.

    Recent interest in the development of new catalyst support materials for proton exchange membrane fuel cells (PEMFCs) has stimulated research into the viability of TiO2-based support structures. Specifically, substoichiometric TiO2 (TiOx) has been reported to exhibit a combination of high conductivity, stability, and corrosion resistance. These properties make TiOx-based support materials a promising prospect when considering the inferior corrosion resistance of traditional carbon-based supports. This document presents an investigation into the formation of conductive and stable TiOx thin films employing atomic layer deposition (ALD) and a post deposition oxygen reducing anneal (PDORA). Techniques for manufacturing TiOx-based catalyst support nanostructures by means of ALD in conjunction with carbon black (CB), anodic aluminum oxide (AAO) and silicon nanowires (SiNWs) will also be presented. The composition and thickness of resulting TiOx thin films was determined with the aid of Auger electron spectroscopy (AES), Rutherford backscattering spectrometry (RBS), X-ray photoelectron spectroscopy (XPS), energy-dispersive X-ray spectroscopy (EDS), and scanning electron microscopy (SEM). Film crystal structure was determined with X-ray diffraction (XRD) analysis. Film conductivity was calculated using four-point probe (4-PP) and film thickness measurement data. Resulting thin films show a significant decrease of oxygen in ALD TiOx films corresponding with a great increase in conductivity following the PDORA. The effectiveness of the PDORA was also found to be highly dependent on ALD process parameters. TiOx-based nanostructures were coated with platinum using one of three Pt deposition techniques. First, liquid phase deposition (LPD), which was performed at room temperature, provided equal access to catalyst support material surfaces which were suspended in solution. Second, plasma enhanced atomic layer deposition (PEALD), which was performed at 450°C, provided good Pt

  5. Laser irradiation in water for the novel, scalable synthesis of black TiOx photocatalyst for environmental remediation

    Directory of Open Access Journals (Sweden)

    Massimo Zimbone

    2017-01-01

    Full Text Available Since 1970, TiO2 photocatalysis has been considered a possible alternative for sustainable water treatment. This is due to its material stability, abundance, nontoxicity and high activity. Unfortunately, its wide band gap (≈3.2 eV in the UV portion of the spectrum makes it inefficient under solar illumination. Recently, so-called “black TiO2” has been proposed as a candidate to overcome this issue. However, typical synthesis routes require high hydrogen pressure and long annealing treatments. In this work, we present an industrially scalable synthesis of TiO2-based material based on laser irradiation. The resulting black TiOx shows a high activity and adsorbs visible radiation, overcoming the main concerns related to the use of TiO2 under solar irradiation. We employed a commercial high repetition rate green laser in order to synthesize a black TiOx layer and we demonstrate the scalability of the present methodology. The photocatalyst is composed of a nanostructured titanate film (TiOx synthetized on a titanium foil, directly back-contacted to a layer of Pt nanoparticles (PtNps deposited on the rear side of the same foil. The result is a monolithic photochemical diode with a stacked, layered structure (TiOx/Ti/PtNps. The resulting high photo-efficiency is ascribed to both the scavenging of electrons by Pt nanoparticles and the presence of trap surface states for holes in an amorphous hydrogenated TiOx layer.

  6. Cellulose Triacetate Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  7. Method for fabrication of crack-free ceramic dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Beihai; Narayanan, Manoj; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan

    2017-12-05

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprises the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. The process provides a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  8. Method for fabrication of crack-free ceramic dielectric films

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan; Narayanan, Manoj

    2014-02-11

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprise the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. Also provided was a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  9. Dielectric loss of strontium titanate thin films

    Science.gov (United States)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  10. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    Science.gov (United States)

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  11. Dielectric spectroscopy of Ag-starch nanocomposite films

    Science.gov (United States)

    Meena; Sharma, Annu

    2018-04-01

    In the present work Ag-starch nanocomposite films were fabricated via chemical reduction route. The formation of Ag nanoparticles was confirmed using transmission electron microscopy (TEM). Further the effect of varying concentration of Ag nanoparticles on the dielectric properties of starch has been studied. The frequency response of dielectric constant (ε‧), dielectric loss (ε″) and dissipation factor tan(δ) has been studied in the frequency range of 100 Hz to 1 MHz. Dielectric data was further analysed using Cole-Cole plots. The dielectric constant of starch was found to be 4.4 which decreased to 2.35 in Ag-starch nanocomposite film containing 0.50 wt% of Ag nanoparticles. Such nanocomposites with low dielectric constant have potential applications in microelectronic technologies.

  12. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  13. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  14. Effect of TiOx compact layer with varied components on the performance of dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Yanling; Ai, Xianglong; Wang, Xiaomeng; Wang, Qi; Huang, Jianguo; Wu, Tao, E-mail: tao_wu@zju.edu.cn

    2014-05-01

    Graphical abstract: - Highlights: • TiOx compact layers with varied components are deposited by sputtering deposition. • TiOx compact layers suppressed the recombination at the FTO glass/ electrolyte interface effectively. • 20 nm-TiOx compact layer with the lowest x value (named T1) gave the highest charge transfer or transport and reduced recombination most. • Lower value of x in TiOx showed slightly better transmittance. • Lower value of x in TiOx reveals higher conductivity and better charge transfer from the porous TiO{sub 2} to the substrate. - Abstract: In this study, approximately 20 nm thick compact layers of TiOx with varied components are deposited by physical vapor deposition. The performance of these layers in solar cells is investigated. The TiOx compact layers consist of T1 (with Ti{sup 0}, Ti{sup 2+}, Ti{sup 3+}, and Ti{sup 4+}), T2 (with Ti{sup 3+} and Ti{sup 4+}), and T3 (with Ti{sup 4+}). Results show that the optimum compact layer is T1, which exhibits an approximately 61% enhancement in energy conversion efficiency compared with the bare cell. Mott–Schottky plots indicate that the carrier concentration decreases and the flatband becomes less negative with decreasing x, which consequently increases the likelihood of charge transfer from the nanoporous TiO{sub 2} to the TiOx compact layers. Furthermore, a decrease in the x value of TiOx results in lower resistance. Voltage decay and electrical impedance spectrum (EIS) show that the electron-carrier lifetime and charge recombination reduction are improved the most by T1. Consequently, TiOx with smaller x works better as a compact layer. However, a solar cell with T2 shows weak enhancement of photovoltaic performance. Cyclic voltammetry and EIS illustrate that the low recombination blocking and high resistance of T2 may be a result of its large pore size and weak adhesion to fluorine-doped tin oxide glass.

  15. High temperature polymer film dielectrics for aerospace power conditioning capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Venkat, Narayanan, E-mail: venkats3@gmail.co [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Dang, Thuy D. [Air Force Research Laboratory-Nanostructured and Biological Materials Branch (AFRL/RXBN) (United States); Bai Zongwu; McNier, Victor K. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); DeCerbo, Jennifer N. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States); Tsao, B.-H. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Stricker, Jeffery T. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States)

    2010-04-15

    Polymer dielectrics are the preferred materials of choice for capacitive energy-storage applications because of their potential for high dielectric breakdown strengths, low dissipation factors and good dielectric stability over a wide range of frequencies and temperatures, despite having inherently lower dielectric constants relative to ceramic dielectrics. They are also amenable to large area processing into films at a relatively lower cost. Air Force currently has a strong need for the development of compact capacitors which are thermally robust for operation in a variety of aerospace power conditioning applications. While such applications typically use polycarbonate (PC) dielectric films in wound capacitors for operation from -55 deg. C to 125 deg. C, future power electronic systems would require the use of polymer dielectrics that can reliably operate up to elevated temperatures in the range of 250-350 deg. C. The focus of this research is the generation and dielectric evaluation of metallized, thin free-standing films derived from high temperature polymer structures such as fluorinated polybenzoxazoles, post-functionalized fluorinated polyimides and fluorenyl polyesters incorporating diamond-like hydrocarbon units. The discussion is centered mainly on variable temperature dielectric measurements of film capacitance and dissipation factor and the effects of thermal cycling, up to a maximum temperature of 350 deg. C, on film dielectric performance. Initial studies clearly point to the dielectric stability of these films for high temperature power conditioning applications, as indicated by their relatively low temperature coefficient of capacitance (TCC) (approx2%) over the entire range of temperatures. Some of the films were also found to exhibit good dielectric breakdown strengths (up to 470 V/mum) and a film dissipation factor of the order of <0.003 (0.3%) at the frequency of interest (10 kHz) for the intended applications. The measured relative dielectric

  16. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  17. TiOx deposited by magnetron sputtering: a joint modelling and experimental study

    Science.gov (United States)

    Tonneau, R.; Moskovkin, P.; Pflug, A.; Lucas, S.

    2018-05-01

    This paper presents a 3D multiscale simulation approach to model magnetron reactive sputter deposition of TiOx⩽2 at various O2 inlets and its validation against experimental results. The simulation first involves the transport of sputtered material in a vacuum chamber by means of a three-dimensional direct simulation Monte Carlo (DSMC) technique. Second, the film growth at different positions on a 3D substrate is simulated using a kinetic Monte Carlo (kMC) method. When simulating the transport of species in the chamber, wall chemistry reactions are taken into account in order to get the proper content of the reactive species in the volume. Angular and energy distributions of particles are extracted from DSMC and used for film growth modelling by kMC. Along with the simulation, experimental deposition of TiOx coatings on silicon samples placed at different positions on a curved sample holder was performed. The experimental results are in agreement with the simulated ones. For a given coater, the plasma phase hysteresis behaviour, film composition and film morphology are predicted. The used methodology can be applied to any coater and any films. This paves the way to the elaboration of a virtual coater allowing a user to predict composition and morphology of films deposited in silico.

  18. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  19. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  20. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  1. Cellulose triacetate, thin film dielectric capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  2. Measurement of valence band structure in arbitrary dielectric films

    International Nuclear Information System (INIS)

    Uhm, Han S.; Choi, Eun H.

    2012-01-01

    A new way of measuring the band structure of various dielectric materials using the secondary electron emission from Auger neutralization of ions is introduced. The first example of this measurement scheme is the magnesium oxide (MgO) films with respect to the application of the films in the display industries. The density of state in the valence bands of MgO film and MgO film with a functional layer (FL) deposited over a dielectric surface reveals that the density peak of film with a FL is considerably less than that of film, thereby indicating a better performance of MgO film with functional layer in display devices. The second example of the measurement is the boron-zinc oxide (BZO) films with respect to the application of the films to the development of solar cells. The measurement of density of state in BZO film suggests that a high concentration of boron impurity in BZO films may enhance the transition of electrons and holes through the band gap from the valence to the conduction band in zinc oxide crystals; thereby improving the conductivity of the film. Secondary electron emission by the Auger neutralization of ions is highly instrumental for the determination of the density of states in the valence band of dielectric materials.

  3. Improvement in photoconductor film properties by changing dielectric layer structures

    International Nuclear Information System (INIS)

    Kim, S; Oh, K; Lee, Y; Jung, J; Cho, G; Jang, G; Cha, B; Nam, S; Park, J

    2011-01-01

    In recent times, digital X-ray detectors have been actively applied to the medical field; for example, digital radiography offers the potential of improved image quality and provides opportunities for advances in medical image management, computer-aided diagnosis and teleradiology. In this study, two candidate materials (HgI 2 and PbI 2 ) have been employed to study the influence of the dielectric structure on the performance of fabricated X-ray photoconducting films. Parylene C with high permittivity was deposited as a dielectric layer using a parylene deposition system (PDS 2060). The structural and morphological properties of the samples were evaluated field emission scanning electron microscopy and X-ray diffraction. Further, to investigate improvements in the electrical characteristics, a dark current in the dark room and sensitivity to X-ray exposure in the energy range of general radiography diagnosis were measured across the range of the operating voltage. The electric signals varied with the dielectric layer structure of the X-ray films. The PbI 2 film with a bottom dielectric layer showed optimized electric properties. On the other hand, in the case of HgI 2 , the film with a top dielectric layer showed superior electric characteristics. Further, although the sensitivity of the film decreased, the total electrical efficiency of the film improved as a result of the decrease in dark current. When a dielectric layer is deposited on a photoconductor, the properties of the photoconductor, such as hole-electron mobility, should be considered to improve the image quality in digital medical imaging application. In this study, we have thus demonstrated that the use of dielectric layer structures improves the performance of photoconductors.

  4. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Lewis, Carol R. (Inventor); Cygan, Peter J. (Inventor); Jow, T. Richard (Inventor)

    1996-01-01

    Non brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  5. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    Lowering the capacitance of Back-end-of-line (BEOL) structures by decreasing the dielectric permittivity of the interlayer dielectric material in integrated circuits (ICs) lowers device delay times, power consumption and parasitic capacitance. a:C-F films that are thermally stable at 400°C were deposited using tetrafluorocarbon and disilane (5% by volume in Helium) as precursors. The bulk dielectric constant (k) of the film was optimized from 2.0 / 2.2 to 1.8 / 1.91 as-deposited and after heat treatment. Films, with highly promising k-values but discarded for failing to meet shrinkage rate requirements were salvaged by utilizing a novel extended heat treatment scheme. Film properties including chemical bond structure, F/C ratio, refractive index, surface planarity, contact angle, dielectric constant, flatband voltage shift, breakdown field potential and optical energy gap were evaluated by varying process pressure, power, substrate temperature and flow rate ratio (FRR) of processing gases. Both XPS and FTIR results confirmed that the stoichiometry of the ultra-low k (ULK) film is close to that of CF2 with no oxygen. C-V characteristics indicated the presence of negative charges that are either interface trapped charges or bulk charges. Average breakdown field strength was in the range of 2-8 MV/cm while optical energy gap varied between 2.2 eV and 3.4 eV. Irradiation or plasma damage significantly impacts the ability to integrate the film in VSLI circuits. The film was evaluated after exposure to oxygen plasma and HMDS vapors and no change in the FTIR spectra or refractive index was observed. Film is resistant to attack by developers CD 26 and KOH. While the film dissolves in UVN-30 negative resist, it is impermeable to PGDMA. A 12% increase in dielectric constant and a decrease in contact angle from 65° to 47° was observed post e-beam exposure. The modified Gaseous Electronics Conference (mGEC) reference cell was used to deposit DLC films using CH4 and Argon as

  6. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  7. A study on the evolution of dielectric function of ZnO thin films with decreasing film thickness

    International Nuclear Information System (INIS)

    Li, X. D.; Chen, T. P.; Liu, P.; Liu, Y.; Liu, Z.; Leong, K. C.

    2014-01-01

    Dielectric function, band gap, and exciton binding energies of ultrathin ZnO films as a function of film thickness have been obtained with spectroscopic ellipsometry. As the film thickness decreases, both real (ε 1 ) and imaginary (ε 2 ) parts of the dielectric function decrease significantly, and ε 2 shows a blue shift. The film thickness dependence of the dielectric function is shown related to the changes in the interband absorption, discrete-exciton absorption, and continuum-exciton absorption, which can be attributed to the quantum confinement effect on both the band gap and exciton binding energies

  8. Sol-gel-derived mesoporous silica films with low dielectric constants

    Energy Technology Data Exchange (ETDEWEB)

    Seraji, S.; Wu, Yun; Forbess, M.; Limmer, S.J.; Chou, T.; Cao, Guozhong [Washington Univ., Seattle, WA (United States). Dept. of Materials Science and Engineering

    2000-11-16

    Mesoporous silica films with low dielectric constants and possibly closed pores have been achieved with a multiple step sol-gel processing technique. Crack-free films with approximately 50% porosity and 0.9 {mu}m thicknesses were obtained, a tape-test revealing good adhesion between films and substrates or metal electrodes. Dielectric constants remained virtually unchanged after aging at room temperature at 56% humidity over 6 days. (orig.)

  9. Reflection from a flat dielectric film with negative refractive index

    OpenAIRE

    Hillion, Pierre

    2007-01-01

    We analyse the reflection of a TM electromagnetic field first on a flat dielectric film and second on a Veselago film with negative refractive index, both films being deposited on a metallic substrat acting as a mirror. An incident harmonic plane wave generates inside a conventional dielectric film a refracted propagating wave and an evanescent wave that does not contribute to reflection on the metallic substrat so that part of the information conveyed by the incident field is lost. At the op...

  10. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  11. Polyvinylidene fluoride film as a capacitor dielectric

    Science.gov (United States)

    Dematos, H. V.

    1981-01-01

    Thin strips of polyvinylidene fluoride film (PVDF) with vacuum deposited electrodes were made into capacitors by conventional winding and fabrication techniques. These devices were used to identify and evaluate the performance characteristics offered by the PVDF in metallized film capacitors. Variations in capacitor parameters with temperature and frequence were evaluated and compared with other dielectric films. Their impact on capacitor applications is discussed.

  12. Elastic properties of porous low-k dielectric nano-films

    Science.gov (United States)

    Zhou, W.; Bailey, S.; Sooryakumar, R.; King, S.; Xu, G.; Mays, E.; Ege, C.; Bielefeld, J.

    2011-08-01

    Low-k dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric for interconnects in state of the art integrated circuits. In order to further reduce interconnect RC delays, additional reductions in k for these low-k materials are being pursued via the introduction of controlled levels of porosity. The main challenge for such dielectrics is the substantial reduction in elastic properties that accompanies the increased pore volume. We report on Brillouin light scattering measurements used to determine the elastic properties of these films at thicknesses well below 200 nm, which are pertinent to their introduction into present ultralarge scale integrated technology. The observation of longitudinal and transverse standing wave acoustic resonances and their transformation into traveling waves with finite in-plane wave vectors provides for a direct non-destructive measure of the principal elastic constants that characterize the elastic properties of these porous nano-scale films. The mode dispersion further confirms that for porosity levels of up to 25%, the reduction in the dielectric constant does not result in severe degradation in the Young's modulus and Poisson's ratio of the films.

  13. Self-standing chitosan films as dielectrics in organic thin-film transistors

    Directory of Open Access Journals (Sweden)

    J. Morgado

    2013-12-01

    Full Text Available Organic thin film transistors, using self-standing 50 µm thick chitosan films as dielectric, are fabricated using sublimed pentacene or two conjugated polymers deposited by spin coating as semiconductors. Field-effect mobilities are found to be similar to values obtained with other dielectrics and, in the case of pentacene, a value (0.13 cm2/(V•s comparable to high performing transistors was determined. In spite of the low On/Off ratios (a maximum value of 600 was obtained for the pentacene-based transistors, these are promising results for the area of sustainable organic electronics in general and for biocompatible electronics in particular.

  14. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  15. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  16. Effect of crystal structure on strontium titanate thin films and their dielectric properties

    Science.gov (United States)

    Kampangkeaw, Satreerat

    Strontium titanate (SrTiO3 or STO) has application in radio and microwave-frequency tunable capacitor devices particularly at low temperatures due to its high dielectric constant, low loss and the electric field tunability of its dielectric constant. The main goal of improving the performance in these devices is to increase the tunability and decrease the dielectric loss at the same time, especially at microwave frequencies. Thin films of STO however, show dramatic differences compared to the bulk. The dielectric constant of bulk STO increases nonlinearly from 300 at room temperature to 30000 at 4 K and the loss range is 10-3--10 -4. On the other hand. STO thin films, while showing a dielectric constant close to 300 at room temperature, typically reach a maximum between 1000 and 10000 in the 30 K to 100 K range before decreasing, and the high-loss range is 10-2--10-3. We have grown strontium titanate thin films using a pulsed laser deposition technique on substrates selected to have a small lattice mismatch between the film and substrate. Neodymium gallate (NdGaO3 or NGO) and lanthanum aluminate (LaAlO3 or LAO) substrates were good candidates due to only 1--2% mismatching. Film capacitor devices were fabricated with 25 micron gap separation. 1.5 mm total gap length and an overall 1 x 2 mm dimension using standard lithography and gold metal evaporative techniques. Their nonlinear dielectric constant and loss tangent were measured at low frequencies and also at 2 GHz, and from room temperature down to 4 K. The resulting films show significant variations of dielectric properties with position on the substrates with respect to the deposition plume axis. In the presence of DC electric fields up to +/-4 V/mum, STO films show improved dielectric tunability and low loss in regions far from the plume axis. We found that the films grown on NCO have lower dielectric loss than those on LAO due to a closer match of the NCO lattice to that of STO. We investigated the possible

  17. Dielectric and acoustical high frequency characterisation of PZT thin films

    International Nuclear Information System (INIS)

    Conde, Janine; Muralt, Paul

    2010-01-01

    Pb(Zr, Ti)O 3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  18. Dielectric and acoustical high frequency characterisation of PZT thin films

    Science.gov (United States)

    Conde, Janine; Muralt, Paul

    2010-02-01

    Pb(Zr, Ti)O3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  19. Preparation, Structural and Dielectric Properties of Solution Grown Polyvinyl Alcohol(PVA) Film

    Science.gov (United States)

    Nangia, Rakhi; Shukla, Neeraj K.; Sharma, Ambika

    2017-08-01

    Flexible dielectrics with high permittivity have been investigated extensively due to their applications in electronic industry. In this work, structural and electrical characteristics of polymer based film have been analysed. Poly vinyl alcohol (PVA) film was prepared by solution casting method. X-ray diffraction (XRD) characterization technique is used to investigate the structural properties. The semi-crystalline nature has been determined by the analysis of the obtained XRD pattern. Electrical properties of the synthesized film have been analysed from the C-V and I-V curves obtained at various frequencies and temperatures. Low conductivity values confirm the insulating behaviour of the film. However, it is found that conductivity increases with temperature. Also, the dielectric permittivity is found to be higher at lower frequencies and higher temperatures, that proves PVA to be an excellent dielectric material which can be used in interface electronics. Dielectric behaviour of the film has been explained based on dipole orientations to slow and fast varying electric field. However further engineering can be done to modulate the structural, electrical properties of the film.

  20. Dielectric and acoustical high frequency characterisation of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Conde, Janine; Muralt, Paul, E-mail: janine.conde@epfl.ch [Department of Materials Science, EPFL (Switzerland)

    2010-02-15

    Pb(Zr, Ti)O{sub 3} (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {l_brace}100{r_brace} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  1. Visualization of dielectric constant-electric field-temperature phase maps for imprinted relaxor ferroelectric thin films

    International Nuclear Information System (INIS)

    Frederick, J. C.; Kim, T. H.; Maeng, W.; Brewer, A. A.; Podkaminer, J. P.; Saenrang, W.; Vaithyanathan, V.; Schlom, D. G.; Li, F.; Chen, L.-Q.; Trolier-McKinstry, S.; Rzchowski, M. S.; Eom, C. B.

    2016-01-01

    The dielectric phase transition behavior of imprinted lead magnesium niobate–lead titanate relaxor ferroelectric thin films was mapped as a function of temperature and dc bias. To compensate for the presence of internal fields, an external electric bias was applied while measuring dielectric responses. The constructed three-dimensional dielectric maps provide insight into the dielectric behaviors of relaxor ferroelectric films as well as the temperature stability of the imprint. The transition temperature and diffuseness of the dielectric response correlate with crystallographic disorder resulting from strain and defects in the films grown on strontium titanate and silicon substrates; the latter was shown to induce a greater degree of disorder in the film as well as a dielectric response lower in magnitude and more diffuse in nature over the same temperature region. Strong and stable imprint was exhibited in both films and can be utilized to enhance the operational stability of piezoelectric devices through domain self-poling.

  2. Off Axis Growth of Strontium Titanate Films with High Dielectric Constant Tuning and Low Loss

    Science.gov (United States)

    Kampangkeaw, Satreerat

    2002-03-01

    Using off-axis pulsed laser deposition, we have grown strontium titanate (STO) films on neodymium gallate (NGO) and lanthanum aluminate (LAO) substrates. We measured the film dielectric constant and loss tangent as a function of temperature in the 10kHz to 1 MHz frequency range. We found that the loss is less than 0.01 We also obtained a figure of merit from the relative variation of the dielectric constant divided by the loss tangent. The obtained figured of merit at 35K and 1MHz is about 1000 comparable to bulk values. The dielectric constant of these films can be changed by a factor of 4-8 in the presence of a DC electric field up to 5V/μm. The films show significant variations of dielectric properties grown on different substrates at different locations respect to the axis of the plume. The STO films on LAO having high dielectric constant and dielectric tuning were grown in region near the center of the plume. On the other hand, STO on NGO shows this effect only on the films grown far from the plume axis.

  3. Plasmonic versus dielectric enhancement in thin-film solar cells

    DEFF Research Database (Denmark)

    Dühring, Maria Bayard; Mortensen, N. Asger; Sigmund, Ole

    2012-01-01

    to its metallic counterpart. We show that the enhanced normalized short-circuit current for a cell with silicon strips can be increased 4 times compared to the best performance for strips of silver, gold, or aluminium. For this particular case, the simple dielectric grating may outperform its plasmonic......Several studies have indicated that broadband absorption of thin-film solar cells can be enhanced by use of surface-plasmon induced resonances of metallic parts like strips or particles. The metallic parts may create localized modes or scatter incoming light to increase absorption in thin......-film semiconducting material. For a particular case, we show that coupling to the same type of localized slab-waveguide modes can be obtained by a surface modulation consisting of purely dielectric strips. The purely dielectric device turns out to have a significantly higher broadband enhancement factor compared...

  4. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  5. Application of Dielectric, Ferroelectric and Piezoelectric Thin Film Devices in Mobile Communication and Medical Systems

    NARCIS (Netherlands)

    Klee, M.; Beelen, D.; Keurl, W.; Kiewitt, R.; Kumar, B.; Mauczok, R.; Reimann, K.; Renders, Ch.; Roest, A.; Roozeboom, F.; Steeneken, P.G.; Tiggelman, M.P.J.; Vanhelmont, F.; Wunnicke, O.; Lok, P.; Neumann, K.; Fraser, J.; Schmitz, G.

    2007-01-01

    Dielectric, ferroelectric and piezoelectric thin films are getting more and more attention for next generation mobile communication and medical systems. Thin film technologies based on dielectric, ferroelectric and piezoelectric thin films enable System-in-Package (SiP) devices, resulting in optimal

  6. A novel Graphene Oxide film: Synthesis and Dielectric properties

    Science.gov (United States)

    Canimkurbey, Betul; San, Sait Eren; Yasin, Muhammad; Köse, Muhammet Erkan

    In this work, we used Hummers method to synthesize Graphene Oxide (GO) and its parallel plate impedance spectroscopic technique to investigate dielectric properties. Graphene Oxide films were coated using drop casting method on ITO substrate. To analyze film morphology, atomic force microscopy was used. Dielectrics measurements of the samples were performed using impedance analyzer (HP-4194) in frequency range (100 Hz to 10MHz) at different temperatures. It was observed that the films' AC conductivity σac varied with angular frequency, ω as ωS, with Sdirect current (DC) and Correlated Barrier Hopping (CBH) conductivity mechanisms at low and high frequency ranges, respectively. Using solution processed Graphene Oxide will provide potential for organic electronic applications through its photon absorption and transmittance capability in the visible range and excellent electrical parameters.

  7. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  8. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  9. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  10. Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors

    Science.gov (United States)

    Baniecki, John David

    This thesis examines the issues associated with incorporating the high dielectric constant material Barium Strontium Titanate (BSTO) in to the storage capacitor of a dynamic random access memory (DRAM). The research is focused on two areas: characterizing and understanding the factors that control charge retention in BSTO thin films and modifying the electrical properties using ion implantation. The dielectric relaxation of BSTO thin films deposited by metal-organic chemical vapor deposition (MOCVD) is investigated in the time and frequency domains. It is shown that the frequency dispersion of the complex capacitance of BSTO thin films can be understood in terms of a power-law frequency dependence from 1mHz to 20GHz. From the correspondence between the time and frequency domain measurements, it is concluded that the power-law relaxation currents extend back to the nano second regime of DRAM operation. The temperature, field, and annealing dependence of the dielectric relaxation currents are also investigated and mechanisms for the observed power law relaxation are explored. An equivalent circuit model of a high dielectric constant thin film capacitor is developed based on the electrical measurements and implemented in PSPICE. Excellent agreement is found between the experimental and simulated electrical characteristics showing the utility of the equivalent circuit model in simulating the electrical properties of high dielectric constant thin films. Using the equivalent circuit model, it is shown that the greatest charge loss due to dielectric relaxation occurs during the first read after a refresh time following a write to the opposite logic state for a capacitor that has been written to the same logic state for a long time (opposite state write charge loss). A theoretical closed form expression that is a function of three material parameters is developed which estimates the opposite state write charge loss due to dielectric relaxation. Using the closed form

  11. Dielectric response of fully and partially depleted ferroelectric thin films and inversion of the thickness effect

    International Nuclear Information System (INIS)

    Misirlioglu, I B; Yildiz, M

    2013-01-01

    We study the effect of full and partial depletion on the dielectric response characteristics of ferroelectric thin films with impurities via a computational approach. Using a thermodynamic approach along with the fundamental equations for semiconductors, we show that films with partial depletion display unique features and an enhanced dielectric response compared with those fully depleted. We find that the capacitance peak at switching can be significantly suppressed in the case of high impurity densities (>10 25 m −3 ) with relatively low ionization energy, of the order of 0.5 eV. For conserved number of species in films, electromigration of ionized impurities at room temperature is negligible and has nearly no effect on the dielectric response. In films with high impurity density, the dielectric response at zero bias is enhanced with respect to charge-free films or those with relatively low impurity density ( 24 m −3 ). We demonstrate that partially depleted films should be expected to exhibit peculiar capacitance–voltage characteristics at low and high bias and that the thickness effect probed in experiments in ferroelectric thin films could be entirely inverted in thin films with depletion charges where a higher dielectric response can be measured in thicker films. Therefore, depletion charge densities in ferroelectric thin films should be estimated before size-effect-related studies. Finally, we noted that these findings are in good qualitative agreement with dielectric measurements carried out on PbZr x Ti 1−x O 3 . (paper)

  12. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  13. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  14. A methodology for the preparation of nanoporous polyimide films with low dielectric constants

    International Nuclear Information System (INIS)

    Jiang Lizhong; Liu Jiugui; Wu Dezhen; Li Hangquan; Jin Riguang

    2006-01-01

    A method to generate nanoporous polyimide films with low dielectric constants was proposed. The preparation consisted of two steps. Firstly, a polyimide/silica hybrid film was prepared via sol-gel process. Secondly, the hybrid film was treated with hydrofluoric acid to remove the dispersed silica particles, leaving pores with diameters between 20 and 120 nm, depending on the size of silica particles. Both hybrid and porous films were subjected to a variety of characterizations including transmission electron microscopy observation, dielectric constant measurement and tensile strength measurement

  15. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  16. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  17. Influence of test capacitor features on piezoelectric and dielectric measurement of ferroelectric films.

    Science.gov (United States)

    Wang, Zhihong; Lau, Gih Keong; Zhu, Weiguang; Chao, Chen

    2006-01-01

    This paper presents both theoretical and numerical analyses of the piezoelectric and dielectric responses of a highly idealized film-on-substrate system, namely, a polarized ferroelectric film perfectly bonded to an elastic silicon substrate. It shows that both effective dielectric and piezoelectric properties of the films change with the size and configuration of the test capacitor. There exists a critical electrode size that is smaller than the diameter of the commonly used substrate. The effective film properties converge to their respective constrained values as capacitor size increases to the critical size. If capacitor size is smaller than the critical size, the surface displacement at the top electrode deviates from the net thickness change in response to an applied voltage because the film is deformable at the film/substrate interface. The constrained properties of the films depend only on those of bulk ferroelectrics but are independent of film thickness and substrate properties. The finding of the critical capacitor size together with analytical expressions of the constrained properties makes it possible to realize consistent measurement of piezoelectric and dielectric properties of films. A surface scanning technique is recommended to measure the profile of piezoresponses of the film so that the constrained properties of the film can be identified accurately.

  18. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  19. Structural, dielectric and ferroelectric characterization of PZT thin films

    Directory of Open Access Journals (Sweden)

    Araújo E.B.

    1999-01-01

    Full Text Available In this work ferroelectric thin films of PZT were prepared by the oxide precursor method, deposited on Pt/Si substrate. Films of 0.5 mm average thickness were obtained. Electrical and ferroelectric characterization were carried out in these films. The measured value of the dielectric constant for films was 455. Ferroelectricity was confirmed by Capacitance-Voltage (C-V characteristics and P-E hysteresis loops. Remanent polarization for films presented value around 5.0 µC/cm2 and a coercive field of 88.8 kV/cm.

  20. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    Energy Technology Data Exchange (ETDEWEB)

    Le Paven, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Lu, Y. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Nguyen, H.V. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); CEA LETI, Minatec Campus, 38054 Grenoble (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Rioual, S. [Laboratoire de Magnétisme de Brest (EA CNRS 4522), Université de Bretagne Occidentale, 29000 Brest (France); Benzegoutta, D. [Institut des Nanosciences de Paris (INSP, UMR CNRS 7588), Université Pierre et Marie Curie, 75005 Paris (France); Tessier, F.; Cheviré, F. [Institut des Sciences Chimiques de Rennes (ISCR, UMR-CNRS 6226), Equipe Verres et Céramiques, Université de Rennes 1, 35000 Rennes (France); and others

    2014-02-28

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO{sub 3} and Pt(111)/TiO{sub 2}/SiO{sub 2}/(001)Si substrates by RF magnetron sputtering, using a La{sub 2}Ti{sub 2}O{sub 7} homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La{sub 2}Ti{sub 2}O{sub 7} films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti{sup 4+} ions, with no trace of Ti{sup 3+}, and provides a La/Ti ratio of 1.02. The depositions being performed from a La{sub 2}Ti{sub 2}O{sub 7} target under oxygen rich plasma, the same composition (La{sub 2}Ti{sub 2}O{sub 7}) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2{sub 1} space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO{sub 3} substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La{sub 2}Ti{sub 2}O{sub 7} orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La{sub 2}Ti{sub 2}O{sub 7} films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La{sub 2}Ti{sub 2}O{sub 7} chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing.

  1. Improved dielectric functions in metallic films obtained via template stripping

    Science.gov (United States)

    Hyuk Park, Jong; Nagpal, Prashant; Oh, Sang-Hyun; Norris, David J.

    2012-02-01

    We compare the dielectric functions of silver interfaces obtained via thermal evaporation with those obtained with template stripping. Ellipsometry measurements show that the smoother template-stripped surfaces exhibit effective dielectric functions with a more negative real component and a smaller imaginary component, implying higher conductivity and less energy loss, respectively. These results agree with the relation between dielectric function and surface roughness derived from combining the effective-medium model and the Drude-Lorentz model. The improvement in the effective dielectric properties shows that metallic films prepared via template stripping can be favorable for applications in electronics, nanophotonics, and plasmonics.

  2. Dielectric and electrical study of PPy doped PVA-PVP films

    Science.gov (United States)

    Jha, Sushma; Tripathi, Deepti

    2018-05-01

    Dielectric parameters of free standing films of pure PVA (PolyvinylAlcohol) and PVA with varying concentrations of PVP(Polyvinylpyrrolidone) and Polypyrrole were prepared and studied in low frequency range (100Hz - 2MHz). The results show that dielectric constant, loss tangent and conductivity increase sharply on increasing the concentration of PVP above 50wt% in polymer matrix. PVA-PVP film with low concentration of PPy showed improvement in the values of complex permittivity, loss tangent and ac conductivity within the experimental frequency range. This eco - friendly polymeric material will be studied for its probable application for RFI/EMI shielding, biosensors, capacitors & insulation purposes.

  3. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  4. Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation

    International Nuclear Information System (INIS)

    Johnson, Mark; Li Zijian; Wang Junlan; Ya, Yushan

    2007-01-01

    With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO 2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail

  5. Perovskite oxynitride LaTiOxNy thin films: Dielectric characterization in low and high frequencies

    International Nuclear Information System (INIS)

    Lu, Y.; Ziani, A.; Le Paven-Thivet, C.; Benzerga, R.; Le Gendre, L.; Fasquelle, D.; Kassem, H.

    2011-01-01

    Lanthanum titanium oxynitride (LaTiO x N y ) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO x N y thin films deposited on conductive single crystal Nb–STO show a dielectric constant ε′ ≈ 140 with low losses tanδ = 0.012 at 100 kHz. For the LaTiO x N y polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO 2 /Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO x N y films deposited on MgO substrate present a high dielectric constant with low losses (ε′ ≈ 170, tanδ = 0.011, 12 GHz).

  6. RBS and XPS study of TiOx layers prepared by the PVD technique .

    Czech Academy of Sciences Publication Activity Database

    Macková, Anna; Peřina, Vratislav; Krumeich, J.; Zemek, J.; Kolouch, A.

    2004-01-01

    Roč. 36, č. 8 (2004), s. 1171-1173 ISSN 0142-2421 R&D Projects: GA ČR GP102/01/D069 Institutional research plan: CEZ:AV0Z1048901 Keywords : RBS * ERDA * TiOx Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.209, year: 2004

  7. Low temperature fabrication of barium titanate hybrid films and their dielectric properties

    International Nuclear Information System (INIS)

    Kobayashi, Yoshio; Saito, Hirobumi; Kinoshita, Takafumi; Nagao, Daisuke; Konno, Mikio

    2011-01-01

    A method for incorporating BT nano-crystalline into barium titanate (BT) films is proposed for a low temperature fabrication of high dielectric constant films. BT nanoparticles were synthesized by hydrolysis of a BT complex alkoxide in 2-methoxyethanol (ME)/ethanol cosolvent. As the ME volume fraction in the cosolvent (ME fraction) increased from 0 to 100%, the particle and crystal sizes tended to increase from 13.4 to 30.2 nm and from 15.8 to 31.4 nm, respectively, and the particle dispersion in the solution became more improved. The BT particles were mixed with BT complex alkoxide dissolved in an ME/ethanol cosolvent for preparing a precursor solution that was then spin-coated on a Pt substrate and dried at 150 o C. The dielectric constant of the spin-coated BT hybrid film increased with an increase in the volume fraction of the BT particles in the film. The dissipation factor of the hybrid film tended to decrease with an increase in the ME fraction in the precursor solution. The hybrid film fabricated at a BT fraction of 30% and an ME fraction of 25% attained a dielectric constant as high as 94.5 with a surface roughness of 14.0 nm and a dissipation factor of 0.11.

  8. Structure dependent resistivity and dielectric characteristics of tantalum oxynitride thin films produced by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cristea, D., E-mail: daniel.cristea@unitbv.ro [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Crisan, A. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Cretu, N. [Electrical Engineering and Applied Physics Department, Transilvania University, 500036 Brasov (Romania); Borges, J. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Lopes, C.; Cunha, L. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Ion, V.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, “Photonic Processing of Advanced Materials” Group, PO Box MG-16, RO 77125 Magurele-Bucharest (Romania); Barradas, N.P. [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Alves, E. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Apreutesei, M. [MATEIS Laboratory-INSA de Lyon, 21 Avenue Jean Capelle, 69621 Villeurbanne cedex (France); Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, Ecole Centrale de Lyon, Ecully F-69134 (France); Munteanu, D. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania)

    2015-11-01

    Highlights: • Tantalum oxynitride thin films have been deposited by magnetron sputtering, in various configurations. • The rising of the reactive gases mixture flow has the consequence of a gradual increase in the non-metallic content in the films, which results in a 10 orders of magnitude resistivity domain. • The higher resistivity films exhibit dielectric constants up to 41 and quality factors up to 70. - Abstract: The main purpose of this work is to present and to interpret the change of electrical properties of Ta{sub x}N{sub y}O{sub z} thin films, produced by DC reactive magnetron sputtering. Some parameters were varied during deposition: the flow of the reactive gases mixture (N{sub 2} and O{sub 2}, with a constant concentration ratio of 17:3); the substrate voltage bias (grounded, −50 V or −100 V) and the substrate (glass, (1 0 0) Si or high speed steel). The obtained films exhibit significant differences. The variation of the deposition parameters induces variations of the composition, microstructure and morphology. These differences cause variation of the electrical resistivity essentially correlated with the composition and structural changes. The gradual decrease of the Ta concentration in the films induces amorphization and causes a raise of the resistivity. The dielectric characteristics of some of the high resistance Ta{sub x}N{sub y}O{sub z} films were obtained in the samples with a capacitor-like design (deposited onto high speed steel, with gold pads deposited on the dielectric Ta{sub x}N{sub y}O{sub z} films). Some of these films exhibited dielectric constant values higher than those reported for other tantalum based dielectric films.

  9. Preparation and dielectric properties of compositionally graded lead barium zirconate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Xihong, E-mail: xhhao@imust.edu.c [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhang, Zhiqing [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhou, Jing [State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); An, Shengli [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhai, Jiwei [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China)

    2010-07-09

    Both up and down compositionally graded (Pb{sub 1-x}Ba{sub x})ZrO{sub 3} (PBZ) thin films with increasing x from 0.4 to 0.6 were deposited on Pt(1 1 1)-buffer layered silicon substrates through a sol-gel method. The microstructure and dielectric properties of graded PBZ thin films were investigated systemically. X-ray diffraction patterns confirmed that both PBZ films had crystallized into a pure perovskite phase after annealed 700 {sup o}C. Electrical measurement results showed that although up graded films had a slightly larger tunability, dielectric loss of down graded films was much lower than that of up graded films. Therefore, the figure of merit of down graded PBZ films was greatly enhanced, as compared with up graded films. Moreover, down graded PBZ thin films also displayed excellent temperature stability with a smaller temperature coefficient of capacitance (TCC) of -0.59 x 10{sup -3} {sup o}C{sup -1} from 20 {sup o}C to 80 {sup o}C.

  10. Study on chitosan film properties as a green dielectric

    Science.gov (United States)

    Nainggolan, I.; Nasution, T. I.; Putri, S. R. E.; Azdena, D.; Balyan, M.; Agusnar, H.

    2018-02-01

    Chitosan film dielectrics to produce an electrostatic capacitor were prepared by the solution cast technique. The charging and discharging of the capacitor were done using RC series circuit with DC voltage supply because chitosan has bipolar properties. First testing was by varying supply voltage of 1, 3, 5, 10 and 15 V, respectively, and could be determined that the most effective voltage for chitosan film can be well polarised is 5 V. The results of second testing for the use of 5 V supply showed that the capacitance of a chitosan film capacitor decreased with the increase in load value. For loads of 100, 1K, 10K, 100K and 1M Ω, the capacitance values of the chitosan film capacitor were 3.1725, 0.4136, 0.05379, 0.007917 and 0.001522 F, respectively. It was also found that the increase in voltage of the capacitor at charging process was faster for the lower load. Therefore, the research result has corresponded to the general formula that used to calculate the capacitance value and thus, the biopolymer chitosan has potential as a sustainable green dielectric.

  11. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  12. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    OpenAIRE

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx

  13. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    Science.gov (United States)

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  14. Evaluation of polysulfone film as a capacitor dielectric material for neutron generator applications

    International Nuclear Information System (INIS)

    Sidnell, N.A.

    1984-01-01

    A modified polysulfone film, as manufactured by Bayer (West Germany), is a candidate dielectric material for applications where radiation resistant properties superior to those of Mylar polyester are required. In 1981 it was concluded that polysulfone films exhibited a dielectric strength 20 to 25% lower than Mylar at elevated temperatures of 74 0 C. Consequently, a new design comparable to the SA2954 Mylar capacitor was formulated with equivalent dielectric strength. This design also demonstrated an improvement in overall reliability as compared to the Mylar design when based on functional electrical test and environmental test performance. This design description and accompanying test results are presented in this report

  15. Dielectric properties of electron irradiated PbZrO 3 thin films

    Indian Academy of Sciences (India)

    The present paper deals with the study of the effects of electron (8 MeV) irradiation on the dielectric and ferroelectric properties of PbZrO3 thin films grown by sol–gel technique. The films were (0.62 m thick) subjected to electron irradiation using Microtron accelerator (delivered dose 80, 100, 120 kGy). The films were well ...

  16. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  17. Dielectric relaxations above room temperature in DMPU derived polyaniline film

    International Nuclear Information System (INIS)

    Mallya, Ashwini N.; Yashavanth Kumar, G.S.; Ranjan, Rajeev; Ramamurthy, Praveen C.

    2012-01-01

    Dielectric measurements carried out on drop casted from solution of emeraldine base form of polyaniline films in the temperature range 30-300 °C revealed occurrence of two maxima in the loss tangent as a function of temperature. The activation energies corresponding to these two relaxation processes were found to be ∼0.5 eV and ∼1.5 eV. The occurrence of one relaxation peak in the dispersion curve of the imaginary part of the electric modulus suggests the absence of microphase separation in the film. Thermogravimetric analysis and infrared spectroscopic measurements showed that the films retained its integrity up to 300 °C. The dielectric relaxation at higher temperatures with large activation energy of 1.5 eV is attributed to increase in the barrier potential due to decrease in the polymer conjugation as a result of wide amplitude motion of the chain segments well above the glass transition temperature.

  18. Dielectric relaxations above room temperature in DMPU derived polyaniline film

    Energy Technology Data Exchange (ETDEWEB)

    Mallya, Ashwini N.; Yashavanth Kumar, G.S.; Ranjan, Rajeev [Department of Materials Engineering, Indian Institute of Science, Bangalore 560012 (India); Ramamurthy, Praveen C., E-mail: onegroupb203@gmail.com [Department of Materials Engineering, Indian Institute of Science, Bangalore 560012 (India)

    2012-09-15

    Dielectric measurements carried out on drop casted from solution of emeraldine base form of polyaniline films in the temperature range 30-300 Degree-Sign C revealed occurrence of two maxima in the loss tangent as a function of temperature. The activation energies corresponding to these two relaxation processes were found to be {approx}0.5 eV and {approx}1.5 eV. The occurrence of one relaxation peak in the dispersion curve of the imaginary part of the electric modulus suggests the absence of microphase separation in the film. Thermogravimetric analysis and infrared spectroscopic measurements showed that the films retained its integrity up to 300 Degree-Sign C. The dielectric relaxation at higher temperatures with large activation energy of 1.5 eV is attributed to increase in the barrier potential due to decrease in the polymer conjugation as a result of wide amplitude motion of the chain segments well above the glass transition temperature.

  19. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  20. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  1. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  2. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  3. Quantum-dot size and thin-film dielectric constant: precision measurement and disparity with simple models.

    Science.gov (United States)

    Grinolds, Darcy D W; Brown, Patrick R; Harris, Daniel K; Bulovic, Vladimir; Bawendi, Moungi G

    2015-01-14

    We study the dielectric constant of lead sulfide quantum dot (QD) films as a function of the volume fraction of QDs by varying the QD size and keeping the ligand constant. We create a reliable QD sizing curve using small-angle X-ray scattering (SAXS), thin-film SAXS to extract a pair-distribution function for QD spacing, and a stacked-capacitor geometry to measure the capacitance of the thin film. Our data support a reduced dielectric constant in nanoparticles.

  4. Dielectric Properties of Cd1-xZnxSe Thin Film Semiconductors

    International Nuclear Information System (INIS)

    Wahab, L.A.; Farrag, A.A.; Zayed, H.A.

    2012-01-01

    Cd 1-x Zn x Se (x=0, 0.5 and 1) thin films of thickness 300 nm have been deposited on highly cleaned glass substrates (Soda-lime glass) by thermal evaporation technique under pressure 10-5 Torr. The crystal structure, lattice parameters and grain size were determined from X-ray diffraction patterns of these films. The dielectric response and ac conductivity of the films are investigated in the frequency range from 80 Hz to 5 MHz and temperature range from 300 K to 420 K. AC conductivity increases linearly with the frequency according to the power relation σ a c (ψ)=A (ψ) s . The dielectric constant and loss show low values at high frequencies. The relaxation time t, resistance R and capacitance C were calculated from Nyquist diagram. The behavior can be modeled by an equivalent parallel RC circuit.

  5. Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors

    Science.gov (United States)

    Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu

    2018-01-01

    In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.

  6. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  7. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  8. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  9. Nonlinear dielectric thin films for high-power electric storage with energy density comparable with electrochemical supercapacitors.

    Science.gov (United States)

    Yao, Kui; Chen, Shuting; Rahimabady, Mojtaba; Mirshekarloo, Meysam Sharifzadeh; Yu, Shuhui; Tay, Francis Eng Hock; Sritharan, Thirumany; Lu, Li

    2011-09-01

    Although batteries possess high energy storage density, their output power is limited by the slow movement of charge carriers, and thus capacitors are often required to deliver high power output. Dielectric capacitors have high power density with fast discharge rate, but their energy density is typically much lower than electrochemical supercapacitors. Increasing the energy density of dielectric materials is highly desired to extend their applications in many emerging power system applications. In this paper, we review the mechanisms and major characteristics of electric energy storage with electrochemical supercapacitors and dielectric capacitors. Three types of in-house-produced ferroic nonlinear dielectric thin film materials with high energy density are described, including (Pb(0.97)La(0.02))(Zr(0.90)Sn(0.05)Ti(0.05))O(3) (PLZST) antiferroelectric ceramic thin films, Pb(Zn(1/3)Nb(2/3))O(3-)Pb(Mg(1/3)Nb(2/3))O(3-)PbTiO(3) (PZN-PMN-PT) relaxor ferroelectric ceramic thin films, and poly(vinylidene fluoride) (PVDF)-based polymer blend thin films. The results showed that these thin film materials are promising for electric storage with outstandingly high power density and fairly high energy density, comparable with electrochemical supercapacitors.

  10. Mechanical property changes in porous low-k dielectric thin films during processing

    Energy Technology Data Exchange (ETDEWEB)

    Stan, G., E-mail: gheorghe.stan@nist.gov; Gates, R. S. [Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Kavuri, P. [Physical Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Torres, J.; Michalak, D.; Ege, C.; Bielefeld, J.; King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2014-10-13

    The design of future generations of Cu-low-k dielectric interconnects with reduced electronic crosstalk often requires engineering materials with an optimal trade off between their dielectric constant and elastic modulus. This is because the benefits associated with the reduction of the dielectric constant by increasing the porosity of materials, for example, can adversely affect their mechanical integrity during processing. By using load-dependent contact-resonance atomic force microscopy, the changes in the elastic modulus of low-k dielectric materials due to processing were accurately measured. These changes were linked to alterations sustained by the structure of low-k dielectric films during processing. A two-phase model was used for quantitative assessments of the elastic modulus changes undergone by the organosilicate skeleton of the structure of porous and pore-filled dielectrics.

  11. Zirconium titanate thin film prepared by surface sol-gel process and effects of thickness on dielectric property

    CERN Document Server

    Kim, C H

    2002-01-01

    Single phase of multicomponent oxide ZrTiO sub 4 film could be prepared through surface sol-gel route simply by coating the mixture of 100mM zirconium butoxide and titanium butoxide on Pt/Ti/SiO sub 2 /Si(100) substrate, following pyrolysis at 450 .deg. C, and annealing it at 770 .deg. C. The dielectric constant of the film was reduced as the film thickness decreased due to of the interfacial effects caused by layer/electrode and a few voids inside the multilayer. However, the dielectric property was independent of applied dc bias sweeps voltage (-2 to +2 V). The dielectric constant of bulk film, 31.9, estimated using series-connected capacitor model was independent of film thickness and frequency in the measurement range, but theoretical interfacial thickness, t sub i , was dependent on the frequency. It reached a saturated t sub i value, 6.9 A, at high frequency by extraction of some capacitance component formed at low frequency range. The dielectric constant of bulk ZrTiO sub 4 pellet-shaped material was 3...

  12. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  13. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  14. Measurement of surface charges on the dielectric film based on field mills under the HVDC corona wire

    Science.gov (United States)

    Donglai, WANG; Tiebing, LU; Yuan, WANG; Bo, CHEN; Xuebao, LI

    2018-05-01

    The ion flow field on the ground is one of the significant parameters used to evaluate the electromagnetic environment of high voltage direct current (HVDC) power lines. HVDC lines may cross the greenhouses due to the restricted transmission corridors. Under the condition of ion flow field, the dielectric films on the greenhouses will be charged, and the electric fields in the greenhouses may exceed the limit value. Field mills are widely used to measure the ground-level direct current electric fields under the HVDC power lines. In this paper, the charge inversion method is applied to calculate the surface charges on the dielectric film according to the measured ground-level electric fields. The advantages of hiding the field mill probes in the ground are studied. The charge inversion algorithm is optimized in order to decrease the impact of measurement errors. Based on the experimental results, the surface charge distribution on a piece of quadrate dielectric film under a HVDC corona wire is studied. The enhanced effect of dielectric film on ground-level electric field is obviously weakened with the increase of film height. Compared with the total electric field strengths, the normal components of film-free electric fields at the corresponding film-placed positions have a higher effect on surface charge accumulation.

  15. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Dielectric properties of Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  16. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  17. Dielectric and Piezoelectric Properties of PZT Composite Thick Films with Variable Solution to Powder Ratios.

    Science.gov (United States)

    Wu, Dawei; Zhou, Qifa; Shung, Koping Kirk; Bharadwaja, Srowthi N; Zhang, Dongshe; Zheng, Haixing

    2009-05-08

    The use of PZT films in sliver-mode high-frequency ultrasonic transducers applications requires thick, dense, and crack-free films with excellent piezoelectric and dielectric properties. In this work, PZT composite solutions were used to deposit PZT films >10 μm in thickness. It was found that the functional properties depend strongly on the mass ratio of PZT sol-gel solution to PZT powder in the composite solution. Both the remanent polarization, P(r), and transverse piezoelectric coefficient, e(31,) (f), increase with increasing proportion of the sol-gel solution in the precursor. Films prepared using a solution-to-powder mass ratio of 0.5 have a remanent polarization of 8 μC/cm(2), a dielectric constant of 450 (at 1 kHz), and e(31,) (f) = -2.8 C/m(2). Increasing the solution-to-powder mass ratio to 6, the films were found to have remanent polarizations as large as 37 μC/cm(2), a dielectric constant of 1250 (at 1 kHz) and e(31,) (f) = -5.8 C/m(2).

  18. Flexible Ultrahigh-Temperature Polymer-Based Dielectrics with High Permittivity for Film Capacitor Applications

    Directory of Open Access Journals (Sweden)

    Zejun Pu

    2017-11-01

    Full Text Available In this report, flexible cross-linked polyarylene ether nitrile/functionalized barium titanate(CPEN/F-BaTiO3 dielectrics films with high permittivitywere prepared and characterized. The effects of both the F-BaTiO3 and matrix curing on the mechanical, thermal and dielectric properties of the CPEN/F-BaTiO3 dielectric films were investigated in detail. Compared to pristine BaTiO3, the surface modified BaTiO3 particles effectively improved their dispersibility and interfacial adhesion in the polymer matrix. Moreover, the introduction of F-BaTiO3 particles enhanced dielectric properties of the composites, with a relatively high permittivity of 15.2 and a quite low loss tangent of 0.022 (1 kHz when particle contents of 40 wt % were utilized. In addition, the cyano (–CN groups of functional layer also can serve as potential sites for cross-linking with polyarylene ether nitrile terminated phthalonitrile (PEN-Ph matrix and make it transform from thermoplastic to thermosetting. Comparing with the pure PEN-ph film, the latter results indicated that the formation of cross-linked network in the polymer-based system resulted in increased tensile strength by ~67%, improved glass transition temperature (Tg by ~190 °C. More importantly, the CPEN/F-BaTiO3 composite films filled with 30 wt % F-BaTiO3 particles showed greater energy density by nearly 190% when compared to pure CPEN film. These findings enable broader applications of PEN-based composites in high-performance electronics and energy storage devices materials used at high temperature.

  19. Structural, dielectric and AC conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    52

    However, to date, no reports have appeared on impedance spectroscopy, modulus behavior, electrical conductivity, dielectric relaxation and dielectric properties of crystalline Sb2O3 thin films. This paper deals for the first time with the frequency and temperature dependence of AC conductivity and complex electric modulus ...

  20. Relaxorlike dielectric behavior in Ba0.7Sr0.3TiO3 thin films

    Science.gov (United States)

    Zednik, Ricardo J.; McIntyre, Paul C.; Baniecki, John D.; Ishii, Masatoshi; Shioga, Takeshi; Kurihara, Kazuaki

    2007-03-01

    We present the results of a systematic dielectric study for sputter deposited barium strontium titanate thin film planar capacitors measured over a wide temperature range of 20-575K for frequencies between 1kHz and 1MHz. Our observations of dielectric loss peaks in the temperature and frequency domains cannot be understood in the typical framework of intrinsic phonon losses. We find that the accepted phenomenological Curie-von Schweidler dielectric behavior (universal relaxation law) in our barium strontium titanate films is only applicable over a narrow temperature range. An excellent fit to the Vogel-Fulcher expression suggests relaxorlike behavior in these films. The activation energy of the observed phenomenon suggests that oxygen ion motion play a role in the apparent relaxor behavior, although further experimental work is required to test this hypothesis.

  1. Casimir free energy of dielectric films: classical limit, low-temperature behavior and control.

    Science.gov (United States)

    Klimchitskaya, G L; Mostepanenko, V M

    2017-07-12

    The Casimir free energy of dielectric films, both free-standing in vacuum and deposited on metallic or dielectric plates, is investigated. It is shown that the values of the free energy depend considerably on whether the calculation approach used neglects or takes into account the dc conductivity of film material. We demonstrate that there are material-dependent and universal classical limits in the former and latter cases, respectively. The analytic behavior of the Casimir free energy and entropy for a free-standing dielectric film at low temperature is found. According to our results, the Casimir entropy goes to zero when the temperature vanishes if the calculation approach with neglected dc conductivity of a film is employed. If the dc conductivity is taken into account, the Casimir entropy takes the positive value at zero temperature, depending on the parameters of a film, i.e. the Nernst heat theorem is violated. By considering the Casimir free energy of SiO 2 and Al 2 O 3 films deposited on a Au plate in the framework of two calculation approaches, we argue that physically correct values are obtained by disregarding the role of dc conductivity. A comparison with the well known results for the configuration of two parallel plates is made. Finally, we compute the Casimir free energy of SiO 2 , Al 2 O 3 and Ge films deposited on high-resistivity Si plates of different thicknesses and demonstrate that it can be positive, negative and equal to zero. The effect of illumination of a Si plate with laser light is considered. Possible applications of the obtained results to thin films used in microelectronics are discussed.

  2. Casimir free energy of dielectric films: classical limit, low-temperature behavior and control

    Science.gov (United States)

    Klimchitskaya, G. L.; Mostepanenko, V. M.

    2017-07-01

    The Casimir free energy of dielectric films, both free-standing in vacuum and deposited on metallic or dielectric plates, is investigated. It is shown that the values of the free energy depend considerably on whether the calculation approach used neglects or takes into account the dc conductivity of film material. We demonstrate that there are material-dependent and universal classical limits in the former and latter cases, respectively. The analytic behavior of the Casimir free energy and entropy for a free-standing dielectric film at low temperature is found. According to our results, the Casimir entropy goes to zero when the temperature vanishes if the calculation approach with neglected dc conductivity of a film is employed. If the dc conductivity is taken into account, the Casimir entropy takes the positive value at zero temperature, depending on the parameters of a film, i.e. the Nernst heat theorem is violated. By considering the Casimir free energy of SiO2 and Al2O3 films deposited on a Au plate in the framework of two calculation approaches, we argue that physically correct values are obtained by disregarding the role of dc conductivity. A comparison with the well known results for the configuration of two parallel plates is made. Finally, we compute the Casimir free energy of SiO2, Al2O3 and Ge films deposited on high-resistivity Si plates of different thicknesses and demonstrate that it can be positive, negative and equal to zero. The effect of illumination of a Si plate with laser light is considered. Possible applications of the obtained results to thin films used in microelectronics are discussed.

  3. Dielectric films for solar and laser-pushed lightsails

    International Nuclear Information System (INIS)

    Landis, Geoffrey A.

    2000-01-01

    This project analyzed the potential use of dielectric thin films for solar and laser sails. Such light-pushed sails allow the possibility of fuel-free propulsion in space. This makes possible missions of extremely high delta-V, potentially as high as 30,000 km/sec (0.1c), which is required for a fly-by mission to a nearby star

  4. Influence of Doping Concentration on Dielectric, Optical, and Morphological Properties of PMMA Thin Films

    Directory of Open Access Journals (Sweden)

    Lyly Nyl Ismail

    2012-01-01

    Full Text Available PMMA thin films were deposited by sol gel spin coating method on ITO substrates. Toluene was used as the solvent to dissolve the PMMA powder. The PMMA concentration was varied from 30 ~ 120 mg. The dielectric properties were measured at frequency of 0 ~ 100 kHz. The dielectric permittivity was in the range of 7.3 to 7.5 which decreased as the PMMA concentration increased. The dielectric loss is in the range of 0.01 ~ –0.01. All samples show dielectric characteristics which have dielectric loss is less than 0.05. The optical properties for thin films were measured at room temperature across 200 ~ 1000 nm wavelength region. All samples are highly transparent. The energy band gaps are in the range of 3.6 eV to 3.9 eV when the PMMA concentration increased. The morphologies of the samples show that all samples are uniform and the surface roughness increased as the concentration increased. From this study, it is known that, the dielectric, optical, and morphology properties were influenced by the amount of PMMA concentration in the solution.

  5. Effects of Interphase Modification and Biaxial Orientation on Dielectric Properties of Poly(ethylene terephthalate)/Poly(vinylidene fluoride-co-hexafluoropropylene) Multilayer Films.

    Science.gov (United States)

    Yin, Kezhen; Zhou, Zheng; Schuele, Donald E; Wolak, Mason; Zhu, Lei; Baer, Eric

    2016-06-01

    Recently, poly(vinylidene fluoride) (PVDF)-based multilayer films have demonstrated enhanced dielectric properties, combining high energy density and high dielectric breakdown strength from the component polymers. In this work, further enhanced dielectric properties were achieved through interface/interphase modulation and biaxial orientation for the poly(ethylene terephthalate)/poly(methyl methacrylate)/poly(vinylidene fluoride-co-hexafluoropropylene) [PET/PMMA/P(VDF-HFP)] three-component multilayer films. Because PMMA is miscible with P(VDF-HFP) and compatible with PET, the interfacial adhesion between PET and P(VDF-HFP) layers should be improved. Biaxial stretching of the as-extruded multilayer films induced formation of highly oriented fibrillar crystals in both P(VDF-HFP) and PET, resulting in improved dielectric properties with respect to the unstretched films. First, the parallel orientation of PVDF crystals reduced the dielectric loss from the αc relaxation in α crystals. Second, biaxial stretching constrained the amorphous phase in P(VDF-HFP) and thus the migrational loss from impurity ions was reduced. Third, biaxial stretching induced a significant amount of rigid amorphous phase in PET, further enhancing the breakdown strength of multilayer films. Due to the synergistic effects of improved interfacial adhesion and biaxial orientation, the PET/PMMA/P(VDF-HFP) 65-layer films with 8 vol % PMMA exhibited optimal dielectric properties with an energy density of 17.4 J/cm(3) at breakdown and the lowest dielectric loss. These three-component multilayer films are promising for future high-energy-density film capacitor applications.

  6. Structural characterization and dielectric properties of BaTiO3 thin films obtained by spin coating

    Directory of Open Access Journals (Sweden)

    Branimir Bajac

    2014-12-01

    Full Text Available Barium titanate thin films were prepared by spin coating deposition technique of an acetic precursor sol and sintered at 750, 900 and 1050 °C. Phase composition of the obtained thin films was characterized by X-ray diffraction and Raman spectroscopy. Their morphology was analysed by scanning electron microscopy and atomic force microscopy. Dielectric properties of thin films sintered at 750 and 900 °C were characterized by LCD device, where the influence of sintering temperature on dielectric permittivity and loss tangent was inspected. It was concluded that higher sintering temperature increases grain size and amount of tetragonal phase, hence higher relative permittivity was recorded. The almost constant relative permittivity in the measured frequency (800 Hz–0.5 MHz and temperature (25–200 °C ranges as well as low dielectric loss are very important for the application of BaTiO3 films in microelectronic devices.

  7. Tunable electromechanical actuation in silicone dielectric film

    International Nuclear Information System (INIS)

    Lamberti, Andrea; Di Donato, Marco; Giorgis, Fabrizio; Chiappone, Annalisa; Canavese, Giancarlo

    2014-01-01

    Dielectric elastomer actuator films were fabricated on transparent conductive electrode using bi-component poly(dimethyl)siloxane (PDMS). PDMS is a well-known material in microfluidics and soft lithography for biomedical applications, being easy to process, low cost, biocompatible and transparent. Moreover its mechanical properties can be easily tuned by varying the mixing ratio between the oligomer base and the crosslinking agent. In this work we investigate the chemical composition and the electromechanical properties of PDMS thin film verifying for the first time the tuneable actuation response by simply modifying the amount of the curing agent. We demonstrate that, for a 20:1 ratio of base:crosslinker mixture, a striking 150% enhancement of Maxwell strain occurs at 1 Hz actuating frequency. (paper)

  8. Effect of La doping on crystalline orientation, microstructure and dielectric properties of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Wencai; Li, Qi; Wang, Xing [Dalian Univ. of Technology, Dalian (China). School of Mechanical Engineering; Yin, Zhifu [Jilin Univ., Changchun (China). Faculty of the School of Mechanical Science and Engineering; Zou, Helin [Dalian Univ. of Technology, Dalian (China). Key Lab. for Micro/Nano Systems and Technology

    2017-11-01

    Lanthanum (La)-modified lead zirconate titanate (PLZT) thin films with doping concentration from 0 to 5 at.-% have been fabricated by sol-gel methods to investigate the effects of La doping on crystalline orientation, microstructure and dielectric properties of the modified films. The characterization of PLZT thin films were performed by X-ray diffractometry (XRD), scanning electron microscopy (SEM) and precision impedance analysis. XRD analysis showed that PLZT films with La doping concentration below 4 at.-% exhibited (100) preferred orientation. SEM results indicated that PLZT films presented dense and columnar microstructures when La doping concentration was less than 3 at.-%, while the others showed columnar microstructures only at the bottom of the cross section. The maximum dielectric constant (1502.59 at 100 Hz) was obtained in a 2 at.-% La-doped film, which increased by 53.9 % compared with undoped film. Without introducing a seed layer, (100) oriented PLZT thin films were prepared by using conventional heat treatment process and adjusting La doping concentration.

  9. ac conductivity and dielectric properties of amorphous Se80Te20-xGex chalcogenide glass film compositions

    International Nuclear Information System (INIS)

    Hegab, N.A.; Afifi, M.A.; Atyia, H.E.; Farid, A.S.

    2009-01-01

    Thin films of the prepared Se 80 Te 20-x Ge x (x = 5, 7 and 10 at.%) were prepared by thermal evaporation technique. X-ray diffraction patterns showed that the films were in amorphous state. The ac conductivity and dielectric properties of the investigated film compositions were studied in the frequency range 0.1-100 kHz and in temperature range (303-373 K). The experimental results indicated that the ac conductivity and the dielectric properties depended on the temperature and frequency. The ac conductivity is found to obey the ω s law, in accordance with the hopping model, s is found to be temperature dependent (s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W m , calculated from dielectric measurements according to Guintini equation, agrees with that proposed by the theory of hopping over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states was estimated for the studied film compositions. The variation of the studied properties with Ge content was also investigated.

  10. Dielectric and piezoelectric properties of lead-free (Bi,Na)TiO3-based thin films

    Science.gov (United States)

    Abazari, M.; Safari, A.; Bharadwaja, S. S. N.; Trolier-McKinstry, S.

    2010-02-01

    Dielectric and piezoelectric properties of morphotropic phase boundary (Bi,Na)TiO3-(Bi,K)TiO3-BaTiO3 epitaxial thin films deposited on SrRuO3 coated SrTiO3 substrates were reported. Thin films of 350 nm thickness exhibited small signal dielectric permittivity and loss tangent values of 750 and 0.15, respectively, at 1 kHz. Ferroelectric hysteresis measurements indicated a remanent polarization value of 30 μC/cm2 with a coercive field of 85-100 kV/cm. The thin film transverse piezoelectric coefficient (e31,f) of these films after poling at 600 kV/cm was found to be -2.2 C/m2. The results indicate that these BNT-based thin films are a potential candidate for lead-free piezoelectric devices.

  11. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  12. Sol–gel deposited ceria thin films as gate dielectric for CMOS ...

    Indian Academy of Sciences (India)

    Sol–gel deposited ceria thin films as gate dielectric for CMOS technology. ANIL G KHAIRNAR ... The semiconductor roadmap following Moore's law is responsible for ..... The financial support from University Grants Commi- ssion (UGC), New ...

  13. Effects of film thickness and preferred orientation on the dielectric properties of (Bi1.5Zn0.5)(Zn0.5Nb1.5)O7 films

    International Nuclear Information System (INIS)

    Cao, L Z; Fu, W Y; Wang, S F; Wang, Q; Sun, Z H; Yang, H; Cheng, B L; Wang, H; Zhou, Y L

    2007-01-01

    (Bi 1.5 Zn 0.5 )(Zn 0.5 Nb 1.5 )O 7 (BZN) films with different thicknesses and preferred orientations have been fabricated on Nb doped SrTiO 3 substrates by pulsed laser deposition. As the thickness increases, the permittivity increases, and the dielectric loss decreases, while the tunability only has a little variation. The asymmetric behaviour of the electric field dependent permittivity reduces gradually with the increasing thickness, which should be attributed to the decrease in the effect of the interfacial layer between the dielectric film and substrate (electrode). Furthermore, compared with the (1 0 0) oriented BZN film, BZN film with (1 1 1) preferred orientation exhibits high dielectric loss

  14. Positron and positronium annihilation in low-dielectric-constant films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Yu, R.S.; Shioya, Y.; Ichikawa, H.; Hosomi, H.; Ishikiriyama, K.; Shirataki, H.; Matsuno, S.; Xu, J.

    2004-01-01

    Positron and positronium annihilation in porous low-dielectric-constant (low-k) films deposited by plasma-enhanced chemical vapor deposition (PECVD) and spin-on dielectric (SOD) have been investigated by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The ortho-positronium (o-Ps) lifetime strongly depends on the deposition condition. In general, PECVD low-k films have shorter o-Ps lifetimes than SOD low-k films, indicating PECVD low-k films have smaller pores. Since o-Ps diffusion and escaping from the surface occurs in most of porous SOD films, three-gamma annihilation measurement is important. To investigate o-Ps behavior in SOD films, we have carried out two-dimensional (2D) PALS measurement, which measures annihilation time and pulse-height of the scintillation detector simultaneously. Monte-Carlo simulation of the o-Ps diffusion and escaping in porous films has been carried out to simulate the 2D-PALS results. (orig.)

  15. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    Science.gov (United States)

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  16. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  17. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  18. Structural, electrical, and dielectric properties of Cr doped ZnO thin films: Role of Cr concentration

    Energy Technology Data Exchange (ETDEWEB)

    Gürbüz, Osman, E-mail: osgurbuz@yildiz.edu.tr; Okutan, Mustafa

    2016-11-30

    Highlights: • Magnetic material of Cr and semiconductor material of ZnO were grown by the magnetron sputtering co-sputter technique. • Perfect single crystalline structures were grown. • DC and AC conductivity with dielectric properties as a function of frequency (f = 5Hz–13 MHz) at room temperature were measured and compared. • Cr doped ZnO can be used in microwave, sensor and optoelectronic devices as the electrical conductivity increases while dielectric constant decreases with the Cr content. - Abstract: An undoped zinc oxide (ZnO) and different concentrations of chromium (Cr) doped ZnO Cr{sub x}ZnO{sub 1−x} (x = 3.74, 5.67, 8.10, 11.88, and 15.96) thin films were prepared using a magnetron sputtering technique at room temperature. These films were characterized by X-ray diffraction (XRD), High resolution scanning electron microscope (HR-SEM), and Energy dispersive X-ray spectrometry (EDS). XRD patterns of all the films showed that the films possess crystalline structure with preferred orientation along the (100) crystal plane. The average crystallite size obtained was found to be between 95 and 83 nm which was beneficial in high intensity recording peak. Both crystal quality and crystallite sizes decrease with increasing Cr concentration. The crystal and grain sizes of the all film were investigated using SEM analysis. The surface morphology that is grain size changes with increase Cr concentration and small grains coalesce together to form larger grains for the Cr{sub 11.88}ZnO and Cr{sub 15.96}ZnO samples. Impedance spectroscopy studies were carried out in the frequencies ranging from 5 Hz to 13 MHz at room temperature. The undoped ZnO film had the highest dielectric value, while dielectric values of other films decreased as doping concentrations increased. Besides, the dielectric constants decreased whereas the loss tangents increased with increasing Cr content. This was considered to be related to the reduction of grain size as Cr content in Zn

  19. Perovskite oxynitride LaTiO{sub x}N{sub y} thin films: Dielectric characterization in low and high frequencies

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Ziani, A. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Le Paven-Thivet, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Fasquelle, D. [Laboratoire d' Etude des Materiaux et des Composants pour l' Electronique (LEMCEL) UPRES-EA 2601, University of Littoral-Cote d' Opale, 50 rue Ferdinand Buisson, F-62228 Calais cedex (France); Kassem, H. [Laboratoire de l' Integration du Materiau au Systeme(IMS) UMR-CNRS 5218, groupe Materiaux, University of Bordeaux 1, 16 avenue Pey-Berland, 33607 Pessac (France); and others

    2011-11-01

    Lanthanum titanium oxynitride (LaTiO{sub x}N{sub y}) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO{sub x}N{sub y} thin films deposited on conductive single crystal Nb-STO show a dielectric constant {epsilon} Prime Almost-Equal-To 140 with low losses tan{delta} = 0.012 at 100 kHz. For the LaTiO{sub x}N{sub y} polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO{sub 2}/Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO{sub x}N{sub y} films deposited on MgO substrate present a high dielectric constant with low losses ({epsilon} Prime Almost-Equal-To 170, tan{delta} = 0.011, 12 GHz).

  20. SHI induced modification in structural, optical, dielectric and thermal properties of poly ethylene oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Gnansagar B.; Bhavsar, Shilpa [Department of Physics, The M.S. University of Baroda, Vadodara 390002 (India); Singh, N.L., E-mail: nl.singh-phy@msubaroda.ac.in [Department of Physics, The M.S. University of Baroda, Vadodara 390002 (India); Singh, F.; Kulriya, P.K. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India)

    2016-07-15

    Poly ethylene oxide (PEO) films were synthesized by solution cast method. These self-standing films were exposed with 60 MeV C{sup +5} ion and 100 MeV Ni{sup +7} ion at different fluences. SHI induced effect was investigated by employing various techniques. The crystalline size decreased upon irradiation as observed from XRD analysis. FTIR analysis reveals the decrement in the peak intensity upon irradiation. Tauc’s method was used to determine the optical band gap (E{sub g}), which shows decreasing trends with increase of fluence. The dielectric properties were investigated in the frequency range 10 Hz to 10 MHz for unirradiated and irradiated films. The dielectric constant remains same for the broad-spectrum of frequency and increases at lower frequency. The dielectric loss also moderately influence as a function of frequency due to irradiation. DSC analysis validated the results of XRD. Scanning electron microscopy (SEM) reveals that there is significant change in the surface morphology due to irradiation.

  1. Dielectric breakdown in silica-amorphous polymer nanocomposite films: the role of the polymer matrix.

    Science.gov (United States)

    Grabowski, Christopher A; Fillery, Scott P; Westing, Nicholas M; Chi, Changzai; Meth, Jeffrey S; Durstock, Michael F; Vaia, Richard A

    2013-06-26

    The ultimate energy storage performance of an electrostatic capacitor is determined by the dielectric characteristics of the material separating its conductive electrodes. Polymers are commonly employed due to their processability and high breakdown strength; however, demands for higher energy storage have encouraged investigations of ceramic-polymer composites. Maintaining dielectric strength, and thus minimizing flaw size and heterogeneities, has focused development toward nanocomposite (NC) films; but results lack consistency, potentially due to variations in polymer purity, nanoparticle surface treatments, nanoparticle size, and film morphology. To experimentally establish the dominant factors in broad structure-performance relationships, we compare the dielectric properties for four high-purity amorphous polymer films (polymethyl methacrylate, polystyrene, polyimide, and poly-4-vinylpyridine) incorporating uniformly dispersed silica colloids (up to 45% v/v). Factors known to contribute to premature breakdown-field exclusion and agglomeration-have been mitigated in this experiment to focus on what impact the polymer and polymer-nanoparticle interactions have on breakdown. Our findings indicate that adding colloidal silica to higher breakdown strength amorphous polymers (polymethyl methacrylate and polyimide) causes a reduction in dielectric strength as compared to the neat polymer. Alternatively, low breakdown strength amorphous polymers (poly-4-vinylpyridine and especially polystyrene) with comparable silica dispersion show similar or even improved breakdown strength for 7.5-15% v/v silica. At ∼15% v/v or greater silica content, all the polymer NC films exhibit breakdown at similar electric fields, implying that at these loadings failure becomes independent of polymer matrix and is dominated by silica.

  2. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  3. Dielectric, ferroelectric, and thermodynamic properties of silicone oil modified PVDF films for energy storage application

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Bingcheng; Wang, Xiaohui, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn; Li, Longtu, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Sun, Hui [Aero-Engine Control System Institute, Aviation Industry Corporation of China, Jiangsu, Wuxi 214063 (China)

    2016-06-13

    Silicone oil modified poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) films were fabricated by the blending, casting, and hot-molding methods. The dielectric constant was increased for the 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films, while the dielectric loss for all blend films are decreased. D-E loops of 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films become slimmer than the pristine P(VDF-HFP) films. The maximum discharged energy density of 10.3 J/cm{sup 3} was obtained in 7.4 wt. % silicone oil modified P(VDF-HFP) films at the external electric field of 398 kV/mm. The Gibbs energy, miscibility, and phase behavior of binary mixture of P(VDF-HFP) silicone oil were investigated using molecular simulations and the extended Flory–Huggins model revealing favorable interactions and compatibility between P(VDF-HFP) and silicone oil.

  4. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    OpenAIRE

    Rafieian, Damon; Ogieglo, Wojciech; Savenije, T.J.; Lammertink, Rob G H

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx), obtained by sputtering at relatively low oxygen concentration, formed rutile upon annealing in air, ...

  5. Polyhedral oligomeric silsequioxane monolayer as a nanoporous interlayer for preparation of low-k dielectric films

    International Nuclear Information System (INIS)

    Liu, Y-L; Liu, C-S; Cho, C-I; Hwu, M-J

    2007-01-01

    Polyhedral oligomeric silsequioxane (POSS) monomer was fixed to a silicon surface by reacting octakis(glycidyldimethylsiloxy)octasilsesquioxane (OG-POSS) with the OH-terminated silicon surface in the presence of tin (II) chloride. The POSS cage layer then served as a nanoporous interlayer to reduce the dielectric constants of polyimide films on silicon surfaces. The chemical structure and surface morphology of OG-POSS modified silicon surfaces were characterized with XPS. With the introduction of a POSS nanopored interlayer, the dielectric constants of polyimide films were reduced

  6. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  7. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    Science.gov (United States)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  8. Ultralow-k nanoporous organosilicate dielectric films imprinted with dendritic spheres.

    Science.gov (United States)

    Lee, Byeongdu; Park, Young-Hee; Hwang, Yong-Taek; Oh, Weontae; Yoon, Jinhwan; Ree, Moonhor

    2005-02-01

    Integrated circuits that have improved functionality and speed in a smaller package and that consume less power are desired by the microelectronics industry as well as by end users, to increase device performance and reduce costs. The fabrication of high-performance integrated circuits requires the availability of materials with low or ultralow dielectric constant (low-k: k noise in interconnect conductors, but also minimize power dissipation by reducing the capacitance between the interconnects. Here we describe the preparation of low- and ultralow-k nanoporous organosilicate dielectrics from blends of polymethylsilsesquioxane (PMSSQ) precursor with globular ethyl acrylate-terminated polypropylenimine dendrimers, which act as porogens. These dendrimers are found to mix well with the PMSSQ precursor and after their sacrificial thermal decompositions result in closed, spherical pores of <2.0 nm radius with a very narrow distribution even at high loading. This pore size and distribution are the smallest and the narrowest respectively ever achieved in porous spin-on dielectrics. The method therefore successfully delivers low- and ultralow-k PMSSQ dielectric films that should prove very useful in advanced integrated circuits.

  9. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  10. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  11. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James [School of Physics, University of Hyderabad, Hyderabad, Telangana 500046 (India); Emani, Sivanagi Reddy [Advanced Center of Research in High Energy Materials (ACRHEM), School of Physics, University of Hyderabad, Telangana 500046 (India)

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  12. Dielectric properties of DC reactive magnetron sputtered Al2O3 thin films

    International Nuclear Information System (INIS)

    Prasanna, S.; Mohan Rao, G.; Jayakumar, S.; Kannan, M.D.; Ganesan, V.

    2012-01-01

    Alumina (Al 2 O 3 ) thin films were sputter deposited over well-cleaned glass and Si substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 °C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al 2 O 3 -Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: ► Al 2 O 3 thin films were deposited by DC reactive magnetron sputtering. ► The films were found to be amorphous up to annealing temperature of 550 C. ► An increase in rms roughness of the films was observed with annealing. ► Al-Al 2 O 3 -Al thin film capacitors were fabricated and dielectric constant was 7.5. ► The activation energy decreased with increase in frequency.

  13. Constant-current corona triode adapted and optimized for the characterization of thin dielectric films

    Science.gov (United States)

    Giacometti, José A.

    2018-05-01

    This work describes an enhanced corona triode with constant current adapted to characterize the electrical properties of thin dielectric films used in organic electronic devices. A metallic grid with a high ionic transparency is employed to charge thin films (100 s of nm thick) with a large enough charging current. The determination of the surface potential is based on the grid voltage measurement, but using a more sophisticated procedure than the previous corona triode. Controlling the charging current to zero, which is the open-circuit condition, the potential decay can be measured without using a vibrating grid. In addition, the electric capacitance and the characteristic curves of current versus the stationary surface potential can also be determined. To demonstrate the use of the constant current corona triode, we have characterized poly(methyl methacrylate) thin films with films with thicknesses in the range from 300 to 500 nm, frequently used as gate dielectric in organic field-effect transistors.

  14. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  15. Future directions of positron annihilation spectroscopy in low-k dielectric films

    International Nuclear Information System (INIS)

    Gidley, D.W.; Vallery, R.S.; Liu, M.; Peng, H.G.

    2007-01-01

    Positronium Annihilation Lifetime Spectroscopy (PALS) has become recognized in the microelectronics industry as one of only several methods capable of quantitatively characterizing engineered nanopores in next-generation (k < 2.2) interlayer dielectric (ILD) thin films. Successes and shortcomings of PALS to date will be assessed and compared with other methods of porosimetry such as ellipsometric and X-ray porosimetries (EP and XRP). A major theme in future low-k research focuses on the ability to integrate porous ILD's into chip fabrication; the vulnerability of porous dielectrics to etching, ashing, and chemical-mechanical polishing in process integration is delaying the introduction of ultra-low-k films. As device size approaches 45 nm the need to probe very small (sub-nanometer), semi-isolated pores beneath thin diffusion barriers is even more challenging. Depth-profiled PALS with its ability to determine a quantitative pore interconnection length and easily resolve 0.3 nm pores beneath diffusion barriers or in trench-patterned dielectrics should have a bright future in porous ILD research. The ability of PALS (and PAS in general) to deduce evolution and growth of pores with porosity should find broad applicability in the emerging field of high performance materials with strategically engineered nanopores. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Growth and characteristics of PbS/polyvinyl alcohol nanocomposites for flexible high dielectric thin film applications

    International Nuclear Information System (INIS)

    Hmar, J.J.L.; Majumder, T.; Mondal, S.P.

    2016-01-01

    PbS/polyvinyl alcohol (PbS/PVA) nanocomposites have been grown by a chemical bath deposition process at various growth temperatures (60–100 °C). Transmission electron microscopy (TEM) study revealed the formation of PbS nanoparticles of diameter 6–20 nm encapsulated in PVA matrix. Optical band gap of the nanocomposite films have been found to decrease (1.45 eV–0.67 eV) with increase in growth temperature from 60 °C to 100 °C. The impedance measurements have been carried out by depositing the PbS/PVA films on indium tin oxide (ITO) coated flexible polyethylene terephthalate (PET) substrates. The room temperature dielectric permittivity and ac conductivity measurements have been carried out for ITO/PbS/PVA/Al devices deposited at various growth temperatures. The nanocomposite films demonstrate superior dielectric permittivity compare to pure PVA polymer. The flexibility studies of ITO/PbS/PVA/Al devices have been performed at different bending angles. - Highlights: • PbS nanoparticles of diameter 6–20 nm were grown in polyvinyl (PVA) matrix. • Optical band gap of nanocomposite films was varied from 1.45–0.67 eV. • The nanocomposite thin films demonstrated superior dielectric permittivity. • Flexibility study of thin film devices was performed at various bending angles.

  17. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  18. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  19. Nature of Dielectric Properties, Electric Modulus and AC Electrical Conductivity of Nanocrystalline ZnIn2Se4 Thin Films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Ali, H. A. M.; Salem, G. F.; Ismail, M. I.

    2018-02-01

    The structural characteristics of thermally deposited ZnIn2Se4 thin films were indexed utilizing x-ray diffraction as well as scanning electron microscopy techniques. Dielectric properties, electric modulus and AC electrical conductivity of ZnIn2Se4 thin films were examined in the frequency range from 42 Hz to 106 Hz. The capacitance, conductance and impedance were measured at different temperatures. The dielectric constant and dielectric loss decrease with an increase in frequency. The maximum barrier height was determined from the analysis of the dielectric loss depending on the Giuntini model. The real part of the electric modulus revealed a constant maximum value at higher frequencies and the imaginary part of the electric modulus was characterized by the appearance of dielectric relaxation peaks. The AC electrical conductivity obeyed the Jonscher universal power law. Correlated barrier hopping model was the appropriate mechanism for AC conduction in ZnIn2Se4 thin films. Estimation of the density of states at the Fermi level and activation energy, for AC conduction, was carried out based on the temperature dependence of AC electrical conductivity.

  20. Influence of Reactive Ion Etching on THz Transmission and Reflection Properties of NiCr Film Deposited on a Dielectric Substrate

    Directory of Open Access Journals (Sweden)

    Jun Gou

    2015-06-01

    Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131

  1. Dielectric strength of voidless BaTiO{sub 3} films with nano-scale grains fabricated by aerosol deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hong-Ki; Lee, Young-Hie, E-mail: yhlee@kw.ac.kr [Department of Electronics Materials Engineering, Kwangwoon University, Seoul (Korea, Republic of); Lee, Seung-Hwan [Department of Electronics Materials Engineering, Kwangwoon University, Seoul (Korea, Republic of); R and D Center, Samwha Capacitor, Yongin (Korea, Republic of); In Kim, Soo; Woo Lee, Chang [Department of Nano and Electronic Physics, Kookmin University, Seoul (Korea, Republic of); Rag Yoon, Jung [R and D Center, Samwha Capacitor, Yongin (Korea, Republic of); Lee, Sung-Gap [Department of Ceramic Engineering, Engineering Research Institute, Gyeongsang National University, Jinju (Korea, Republic of)

    2014-01-07

    In order to investigate the dielectric strength properties of the BaTiO{sub 3} films with nano-scale grains with uniform grain size and no voids, BaTiO{sub 3} films were fabricated with a thickness of 1 μm by an AD process, and the fabricated films were sintered at 800, 900, and 1000 °C in air and reducing atmosphere. The films have superior dielectric strength properties due to their uniform grain size and high density without any voids. In addition, based on investigation of the leakage current (intrinsic) properties, it was confirmed that the sintering conditions of the reducing atmosphere largely increase leakage currents due to generated electrons and doubly ionized oxygen vacancies following the Poole-Frenkel emission mechanism, and increased leakage currents flow at grain boundary regions. Therefore, we conclude that the extrinsic breakdown factors should be eliminated for superior dielectric strength properties, and it is important to enhance grain boundaries by doping acceptors and rare-earth elements.

  2. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  3. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  4. Brillouin light scattering studies on the mechanical properties of ultrathin, porous low-K dielectric films

    Science.gov (United States)

    Zhou, Wei; Sooryakumar, R.; King, Sean

    2010-03-01

    Low K dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric material for interconnects in state of the art integrated circuits. To further reduce interconnect resistance-capacitance (RC) delays, additional reductions in the K for these low-K materials is being pursued by the introduction of controlled levels of porosity. The main challenge for porous low-K dielectrics is the substantial reduction in mechanical properties that is accompanied by the increased pore volume content needed to reduce K. We report on the application of the nondestructive Brillouin light scattering technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200 nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for the principal elastic constants that completely characterize the mechanical properties of these porous films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. The resulting elastic constants are compared with corresponding values obtained from other experimental techniques.

  5. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  6. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques

    International Nuclear Information System (INIS)

    Ishikawa, Yasushi; Katoh, Yuji; Okigawa, Mitsuru; Samukawa, Seiji

    2005-01-01

    We measured electron-hole pairs generated in dielectric film using our developed on-wafer monitoring technique to detect electrical currents in the film during the plasma etching processes. The electron-hole pairs were generated by plasma induced ultraviolet (UV) photons, and the number of electron-hole pairs depends on the UV wavelength. In SiO 2 film, UV light, which has a wavelength of less than 140 nm, generates electron-hole pairs, because the band gap energy of the film is 8.8 eV. On the other hand, in Si 3 N 4 film, which has a band gap energy level of 5.0 eV, UV light below 250 nm induces the electron-hole pairs. Additionally, we evaluated the fluorocarbon gas plasma process that induces UV radiation damage using multilayer sensors that consisted of both SiO 2 and Si 3 N 4 stacked films. In these cases, electron-hole pair generation depended on the dielectric film structure. There were more electron-hole pairs generated in the SiO 2 deposited on the Si 3 N 4 film than in the Si 3 N 4 deposited on the SiO 2 film. As a result, our developed on-wafer monitoring sensor was able to predict electron-hole pair generation and the device characteristics

  8. Controlling dielectric and relaxor-ferroelectric properties for energy storage by tuning Pb0.92La0.08Zr0.52Ti0.48O3 film thickness.

    Science.gov (United States)

    Brown, Emery; Ma, Chunrui; Acharya, Jagaran; Ma, Beihai; Wu, Judy; Li, Jun

    2014-12-24

    The energy storage properties of Pb0.92La0.08Zr0.52Ti0.48O3 (PLZT) films grown via pulsed laser deposition were evaluated at variable film thickness of 125, 250, 500, and 1000 nm. These films show high dielectric permittivity up to ∼1200. Cyclic I-V measurements were used to evaluate the dielectric properties of these thin films, which not only provide the total electric displacement, but also separate contributions from each of the relevant components including electric conductivity (D1), dielectric capacitance (D2), and relaxor-ferroelectric domain switching polarization (P). The results show that, as the film thickness increases, the material transits from a linear dielectric to nonlinear relaxor-ferroelectric. While the energy storage per volume increases with the film thickness, the energy storage efficiency drops from ∼80% to ∼30%. The PLZT films can be optimized for different energy storage applications by tuning the film thickness to optimize between the linear and nonlinear dielectric properties and energy storage efficiency.

  9. Calcinated tea and cellulose composite films and its dielectric and lead adsorption properties.

    Science.gov (United States)

    Jayaramudu, Tippabattini; Varaprasad, Kokkarachedu; Kim, Hyun Chan; Kafy, Abdullahil; Kim, Jung Woong; Kim, Jaehwan

    2017-09-01

    In this paper, calcinated tea and cellulose composite (CTCC) films were fabricated via solution casting method. Chemical structure, morphology, crystallinity and thermal stability of the fabricated films were characterized by using Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray diffraction and thermogravimetric analysis. The effect of calcinated tea loading on the properties of the prepared CTCC films was studied. The results suggest that the prepared CTCC films show higher mechanical properties, thermal stability and dielectric constant than the neat cellulose film. In addition, the CTCC films adsorb Pb 2+ ions and its adsorption performance depends on the calcinated tea content and pH level. The CTCC films are useful for sensors, flexible capacitor as well as lead adsorption applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. Analysis of mobile ionic impurities in polyvinylalcohol thin films by thermal discharge current and dielectric impedance spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Egginger

    2012-12-01

    Full Text Available Polyvinylalcohol (PVA is a water soluble polymer frequently applied in the field of organic electronics for insulating thin film layers. By-products of PVA synthesis are sodium acetate ions which contaminate the polymer material and can impinge on the electronic performance when applied as interlayer dielectrics in thin film transistors. Uncontrollable voltage instabilities and unwanted hysteresis effects are regularly reported with PVA devices. An understanding of these effects require knowledge about the electronic dynamics of the ionic impurities and their influence on the dielectric properties of PVA. Respective data, which are largely unknown, are being presented in this work. Experimental investigations were performed from room temperature to 125°C on drop-cast PVA films of three different quality grades. Data from thermal discharge current (TDC measurements, polarization experiments, and dielectric impedance spectroscopy concurrently show evidence of mobile ionic carriers. Results from TDC measurements indicate the existence of an intrinsic, build-in electric field of pristine PVA films. The field is caused by asymmetric ionic double layer formation at the two different film-interfaces (substrate/PVA and PVA/air. The mobile ions cause strong electrode polarization effects which dominate dielectric impedance spectra. From a quantitative electrode polarization analysis of isothermal impedance spectra temperature dependent values for the concentration, the mobility and conductivity together with characteristic relaxation times of the mobile carriers are given. Also shown are temperature dependent results for the dc-permittivity and the electronic resistivity. The obtained results demonstrate the feasibility to partly remove contaminants from a PVA solution by dialysis cleaning. Such a cleaning procedure reduces the values of ion concentration, conductivity and relaxation frequency.

  11. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    International Nuclear Information System (INIS)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping. (orig.)

  12. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    Science.gov (United States)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping.

  13. Dielectric properties investigation of Cu2O/ZnO heterojunction thin films by electrodeposition

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Mengmeng; Fan, Huiqing; Wang, Hairong; Peng, Biaolin; Long, Changbai; Zhai, Yuchun

    2013-01-01

    Highlights: ► Bottom-up self-assembly Cu 2 O/ZnO heterojunction was fabricated by electrochemical deposition on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET). ► The dielectric response of Cu 2 O/ZnO heterojunction thin films had been investigated. ► The universal dielectric response was used to investigate the hopping behavior in Cu 2 O/ZnO heterojunction. -- Abstract: Structures and morphologies of the Cu 2 O/ZnO heterojunction electrodeposited on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET) were investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), high resolution transmission electron microscopy (HRTEM), respectively. The dielectric response of bottom-up self-assembly Cu 2 O/ZnO heterojunction was investigated. The low frequency dielectric dispersion (LFDD) was observed. The universal dielectric response (UDR) was used to investigate the frequency dependence of dielectric response for Cu 2 O/ZnO heterojunction, which was attributed to the long range and the short range hopping charge carriers at the low frequency and the high frequency region, respectively

  14. Tunable dielectric properties of Barium Magnesium Niobate (BMN) doped Barium Strontium Titanate (BST) thin films by magnetron sputtering

    Science.gov (United States)

    Alema, Fikadu; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-03-01

    We report on the tunable dielectric properties of Mg and Nb co-doped Ba0.45Sr0.55TiO3 (BST) thin film prepared by the magnetron sputtering using BST target (pure and doped with BaMg0.33Nb0.67O3 (BMN)) on Pt/TiO2/SiO2/Al2O3 4'' wafers at 700 °C under oxygen atmosphere. The electrical measurements are conducted on 2432 metal-ferroelectric-metal capacitors using Pt as the top and bottom electrode. The crystalline structure, microstructure, and surface morphology of the films are analyzed and correlated to the films dielectric properties. The BMN doped and undoped BST films have shown tunabilities of 48% and 52%; and leakage current densities of 2.2x10-6 A/cm2 and 3.7x10-5 A/cm2, respectively at 0.5 MV/cm bias field. The results indicate that the BMN doped film exhibits a lower leakage current with no significant decrease in tunability. Due to similar electronegativity and ionic radii, it was suggested that both Mg2+ (accepter-type) and Nb5+ (donor-type) dopants substitutTi4+ ion in BST. The improvement in the film dielectric losses and leakage current with insignificant loss of tunability is attributed to the adversary effects of Mg2+ and Nb5+ in BST.

  15. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  16. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  17. Structural and dielectric properties of (001) and (111)-oriented BaZr0.2Ti0.8O3 epitaxial thin films

    International Nuclear Information System (INIS)

    Ventura, J.; Fina, I.; Ferrater, C.; Langenberg, E.; Coy, L.E.; Polo, M.C.; Garcia-Cuenca, M.V.; Fabrega, L.; Varela, M.

    2010-01-01

    We have grown and characterized BaZr 0.2 Ti 0.8 O 3 (BZT) epitaxial thin films deposited on (001) and (111)-oriented SrRuO 3 -buffered SrTiO 3 substrates by pulsed laser deposition. Structural and morphological characterizations were performed using X-ray diffractometry and atomic force microscopy, respectively. A cube-on-cube epitaxial relationship was ascertained from the θ-2θ and φ diffractograms in both (001) and (111)-oriented films. The (001)-oriented films showed a smooth granular morphology, whereas the faceted pyramid-like crystallites of the (111)-oriented films led to a rough surface. The dielectric response of BZT at room temperature was measured along the growth direction. The films were found to be ferroelectric, although a well-saturated hysteresis loop was obtained only for the (001)-oriented films. High leakage currents were observed for the (111) orientation, likely associated to charge transport along the boundaries of its crystallites. The remanent polarization, coercive field, dielectric constant, and relative change of dielectric permittivity (tunability) of (111)-oriented BZT were higher than those of (001)-oriented BZT.

  18. Changes of optical, dielectric, and structural properties of Si15Sb85 phase change memory thin films under different initializing laser power

    International Nuclear Information System (INIS)

    Huang Huan; Zhang Lei; Wang Yang; Han Xiaodong; Wu Yiqun; Zhang Ze; Gan Fuxi

    2011-01-01

    Research highlights: → We study the optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization. → The optical and dielectric constants, absorption coefficient of Si 15 Sb 85 change regularly with the increasing laser power. → The optical band gaps of Si 15 Sb 85 irradiated upon different power lasers were calculated. → HRTEM images of the samples were observed and the changes of optical and dielectric constants are determined by crystalline structures changes of the films. - Abstract: The optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization are studied by using spectroscopic ellipsometry and high-resolution transmission electron microscopy. The dependence of complex refractive index, dielectric functions, absorption coefficient, and optical band gap of the films on its crystallization extents formed by the different initialization laser power are analyzed in detail. The structural change from as-deposited amorphous phase to distorted rhombohedra-Sb-like crystalline structure with the increase of initialization laser power is clearly observed with sub-nanometer resolution. The optical and dielectric constants, the relationship between them, and the local atomic arrangements of this new phase change material can help explain the phase change mechanism and design the practical phase change memory devices.

  19. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  20. Dielectric properties of Ca(Zr0.05Ti0.95)O3 thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Santos, L.P.S.; Santos, M.R.M.C.; Longo, E.; Varela, J.A.

    2006-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were grown on Pt(111)/Ti/SiO 2 /Si(100) substrates by the soft chemical method. The films were deposited from spin-coating technique and annealed at 928K for 4h under oxygen atmosphere. CZT films present orthorhombic structure with a crack free and granular microstructure. Atomic force microscopy and field-emission scanning electron microscopy showed that CZT present grains with about 47nm and thickness about 450nm. Dielectric constant and dielectric loss of the films was approximately 210 at 100kHz and 0.032 at 1MHz. The Au/CZT/Pt capacitor shows a hysteresis loop with remnant polarization of 2.5μC/cm 2 , and coercive field of 18kV/cm, at an applied voltage of 6V. The leakage current density was about 4.6x10 -8 A/cm 2 at 3V. Dielectric constant-voltage curve is located at zero bias field suggesting the absence of internal electric fields

  1. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  2. Multi-level switching in TiOx Fy film with nanoparticles

    International Nuclear Information System (INIS)

    Sun, Xiangyu; Wu, Chuangui; Shuai, Yao; Pan, Xinqiang; Luo, Wenbo; You, Tiangui; Du, Nan; Schmidt, Heidemarie

    2017-01-01

    A reliable bipolar resistive switching device was achieved with multi-level switching behavior in fluorine-doped titanium oxide (TiO x F y ) film. Different resistance states can be precisely controlled by different pulse voltages, which reveals the device’s high potential in neuromorphic research. The characteristics of I – V curves in each resistance state were analyzed. Nanoparticles were observed in the TiO x F y film by HR-TEM. The underlying physical mechanisms during resistance switching are discussed and a model of a meshy conducting path is proposed. (paper)

  3. AC electrical conductivity and dielectric relaxation studies on n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC)

    Science.gov (United States)

    Qashou, Saleem I.; Darwish, A. A. A.; Rashad, M.; Khattari, Z.

    2017-11-01

    Both Alternating current (AC) conductivity and dielectric behavior of n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC) have been investigated. Fourier transformation infrared (FTIR) spectroscopy is used for identifying both powder and film bonds which confirm that there are no observed changes in the bonds between the DMPDC powder and evaporated films. The dependence of AC conductivity on the temperature for DMPDC evaporated films was explained by the correlated barrier hopping (CBH) model. The calculated barrier height using CBH model shows a decreasing behavior with increasing temperature. The mechanism of dielectric relaxation was interpreted on the basis of the modulus of the complex dielectric. The calculated activation energy of the relaxation process was found to be 0.055 eV.

  4. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  5. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  6. Dielectric properties of DC reactive magnetron sputtered Al{sub 2}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Prasanna, S. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Mohan Rao, G. [Department of Instrumentation, Indian Institute of Science (IISc), Bangalore, 560 012 (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Kannan, M.D. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Ganesan, V. [Low Temperature Lab, UGC-DAE Consortium for Scientific Research (CSR), Indore, 452 017 (India)

    2012-01-31

    Alumina (Al{sub 2}O{sub 3}) thin films were sputter deposited over well-cleaned glass and Si < 100 > substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 Degree-Sign C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al{sub 2}O{sub 3}-Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: Black-Right-Pointing-Pointer Al{sub 2}O{sub 3} thin films were deposited by DC reactive magnetron sputtering. Black-Right-Pointing-Pointer The films were found to be amorphous up to annealing temperature of 550 C. Black-Right-Pointing-Pointer An increase in rms roughness of the films was observed with annealing. Black-Right-Pointing-Pointer Al-Al{sub 2}O{sub 3}-Al thin film capacitors were fabricated and dielectric constant was 7.5. Black-Right-Pointing-Pointer The activation energy decreased with increase in frequency.

  7. A Study of the Dielectric Breakdown of SiO2 Films on Si by the Self- Quenching Technique

    Science.gov (United States)

    1974-10-01

    Cambell . Much of the early work on the breakdown of oxide films in 2 1 Q MOS structures was done by N. Klein and his coworkers...Electron Physics, 26, Academic Press. New York (1969). P. J. Harrop and D. S. Cambell , "Dielectric Properties of Thin Films," Handbook of Thin Film

  8. Inkjet-printed thin film radio-frequency capacitors based on sol-gel derived alumina dielectric ink

    KAUST Repository

    McKerricher, Garret

    2017-05-03

    There has been significant interest in printing radio frequency passives, however the dissipation factor of printed dielectric materials has limited the quality factor achievable. Al2O3 is one of the best and widely implemented dielectrics for RF passive electronics. The ability to spatially pattern high quality Al2O3 thin films using, for example, inkjet printing would tremendously simplify the incumbent fabrication processes – significantly reducing cost and allowing for the development of large area electronics. To-date, particle based Al2O3 inks have been explored as dielectrics, although several drawbacks including nozzle clogging and grain boundary formation in the films hinder progress. In this work, a particle free Al2O3 ink is developed and demonstrated in RF capacitors. Fluid and jetting properties are explored, along with control of ink spreading and coffee ring suppression. The liquid ink is heated to 400 °C decomposing to smooth Al2O3 films ~120 nm thick, with roughness of <2 nm. Metal-insulator-metal capacitors, show high capacitance density >450 pF/mm2, and quality factors of ~200. The devices have high break down voltages, >25 V, with extremely low leakage currents, <2×10−9 A/cm2 at 1 MV/cm. The capacitors compare well with similar Al2O3 devices fabricated by atomic layer deposition.

  9. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  10. Quantum-coherence-assisted tunable on- and off-resonance tunneling through a quantum-dot-molecule dielectric film

    International Nuclear Information System (INIS)

    Shen Jianqi; Zeng Ruixi

    2017-01-01

    Quantum-dot-molecular phase coherence (and the relevant quantum-interference-switchable optical response) can be utilized to control electromagnetic wave propagation via a gate voltage, since quantum-dot molecules can exhibit an effect of quantum coherence (phase coherence) when quantum-dot-molecular discrete multilevel transitions are driven by an electromagnetic wave. Interdot tunneling of carriers (electrons and holes) controlled by the gate voltage can lead to destructive quantum interference in a quantum-dot molecule that is coupled to an incident electromagnetic wave, and gives rise to a quantum coherence effect (e.g., electromagnetically induced transparency, EIT) in a quantum-dot-molecule dielectric film. The tunable on- and off-resonance tunneling effect of an incident electromagnetic wave (probe field) through such a quantum-coherent quantum-dot-molecule dielectric film is investigated. It is found that a high gate voltage can lead to the EIT phenomenon of the quantum-dot-molecular systems. Under the condition of on-resonance light tunneling through the present quantum-dot-molecule dielectric film, the probe field should propagate without loss if the probe frequency detuning is zero. Such an effect caused by both EIT and resonant tunneling, which is sensitive to the gate voltage, can be utilized for designing devices such as photonic switching, transistors, and logic gates. (author)

  11. (Ba+Sr)/Ti ratio dependence of the dielectric properties for (Ba0.5Sr0.5)TiO3 thin films prepared by ion beam sputtering

    Science.gov (United States)

    Yamamichi, Shintaro; Yabuta, Hisato; Sakuma, Toshiyuki; Miyasaka, Yoichi

    1994-03-01

    (Ba0.5Sr0.5)TiO3 thin films were prepared by ion beam sputtering from powder targets with (Ba+Sr)/Ti ratios ranging from 0.80 to 1.50. All of the perovskite (Ba,Sr)TiO3 films were single phase except for the film with a (Ba+Sr)/Ti ratio of 1.41. The dielectric constant values notably depended on the (Ba+Sr)/Ti ratio for films thicker than 70 nm. The highest dielectric constant of 580 was achieved for the 5% (Ba+Sr) rich film. This (Ba+Sr)/Ti ratio dependence was diminished by the thickness dependence for thinner films. The grain sizes for the 9% (Ba+Sr) rich film and for the 6% (Ba+Sr) poor film ranged from 70 to 100 nm and from 30 to 60 nm, respectively. This grain size difference could explain why slightly A-site rich (Ba,Sr)TiO3 films have a larger dielectric constant than A-site poor films.

  12. Characterization of ultraviolet light cured polydimethylsiloxane films for low-voltage, dielectric elastomer actuators

    Science.gov (United States)

    Töpper, Tino; Wohlfender, Fabian; Weiss, Florian; Osmani, Bekim; Müller, Bert

    2016-04-01

    The reduction the operation voltage has been the key challenge to realize of dielectric elastomer actuators (DEA) for many years - especially for the application fields of robotics, lens systems, haptics and future medical implants. Contrary to the approach of manipulating the dielectric properties of the electrically activated polymer (EAP), we intend to realize low-voltage operation by reducing the polymer thickness to the range of a few hundred nanometers. A study recently published presents molecular beam deposition to reliably grow nanometer-thick polydimethylsiloxane (PDMS) films. The curing of PDMS is realized using ultraviolet (UV) radiation with wavelengths from 180 to 400 nm radicalizing the functional side and end groups. The understanding of the mechanical properties of sub-micrometer-thin PDMS films is crucial to optimize DEAs actuation efficiency. The elastic modulus of UV-cured spin-coated films is measured by nano-indentation using an atomic force microscope (AFM) according to the Hertzian contact mechanics model. These investigations show a reduced elastic modulus with increased indentation depth. A model with a skin-like SiO2 surface with corresponding elastic modulus of (2.29 +/- 0.31) MPa and a bulk modulus of cross-linked PDMS with corresponding elastic modulus of (87 +/- 7) kPa is proposed. The surface morphology is observed with AFM and 3D laser microscopy. Wrinkled surface microstructures on UV-cured PDMS films occur for film thicknesses above (510 +/- 30) nm with an UV-irradiation density of 7.2 10-4 J cm-2 nm-1 at a wavelength of 190 nm.

  13. Optical constants, dispersion energy parameters and dielectric properties of ultra-smooth nanocrystalline BiVO4 thin films prepared by rf-magnetron sputtering

    Science.gov (United States)

    Sarkar, S.; Das, N. S.; Chattopadhyay, K. K.

    2014-07-01

    BiVO4 thin films have been prepared through radio frequency (rf) magnetron sputtering of a pre-fabricated BiVO4 target on ITO coated glass (ITO-glass) substrate and bare glass substrates. BiVO4 target material was prepared through solid-state reaction method by heating Bi2O3 and V2O5 mixture at 800 °C for 8 h. The films were characterized by X-ray diffraction, UV-Vis spectroscopy, LCR meter, field emission scanning electron microscopy, transmission electron microscopy and atomic force microscopy. BiVO4 thin films deposited on the ITO-glass substrate are much smoother compared to the thin films prepared on bare glass substrate. The rms surface roughness calculated from the AFM images comes out to be 0.74 nm and 4.2 nm for the films deposited on the ITO-glass substrate and bare glass substrate for the deposition time 150 min respectively. Optical constants and energy dispersion parameters of these extra-smooth BiVO4 thin films have been investigated in detail. Dielectric properties of the BiVO4 thin films on ITO-glass substrate were also investigated. The frequency dependence of dielectric constant of the BiVO4 thin films has been measured in the frequency range from 20 Hz to 2 MHz. It was found that the dielectric constant increased from 145 to 343 at 20 Hz as the film thickness increased from 90 nm to 145 nm (deposition time increased from 60 min to 150 min). It shows higher dielectric constant compared to the literature value of BiVO4.

  14. Low temperature dielectric relaxation and charged defects in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    A. Artemenko

    2013-04-01

    Full Text Available We report a dielectric relaxation in BaTiO3-based ferroelectric thin films of different composition and with several growth modes: sputtering (with and without magnetron and sol-gel. The relaxation was observed at cryogenic temperatures (T < 100 K for frequencies from 100 Hz up to 10 MHz. This relaxation activation energy is always lower than 200 meV and is very similar to the relaxation that we reported in the parent bulk perovskites. Based on our Electron Paramagnetic Resonance (EPR investigation, we ascribe this dielectric relaxation to the hopping of electrons among Ti3+-V(O charged defects. Being dependent on the growth process and on the amount of oxygen vacancies, this relaxation can be a useful probe of defects in actual integrated capacitors with no need for specific shaping.

  15. Electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)

    2014-10-31

    In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.

  16. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    Science.gov (United States)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  17. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    International Nuclear Information System (INIS)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S.; Noriega, J.R.; Vasselli, J.J.; Chabal, Y.J.; Gnade, B.E.

    2013-01-01

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm −1 and 362 MVm −1 for neat and crosslinked films

  18. Size effects on structural and dielectric properties of PZT thin films at compositions around the morpho tropic phase boundary

    International Nuclear Information System (INIS)

    Lima, Elton Carvalho; Araujo, Eudes Borges; Souza Filho, Antonio Gomes de; Bdikin, Igor

    2011-01-01

    Full text: The demand for portability in consumer electronics has motivated the understanding of size effects on ferroelectric thin films. The actual comprehension of these effects in ferroelectrics is unsatisfactory, since the polarization interacts more strongly than other order parameters such as strain and charge. As a result, extrinsic effects are produced if these variables are uncontrolled and problems such as ferroelectric paraelectric phase transition at nanometers scale remains an unsolved issue. In the present work, the effects of thickness and compositional fractions on the structural and dielectric properties of PbZr 1-x Ti x O 3 (PZT) thin films were studied at a composition around the morphotropic phase boundary (x = 0.50). For this purpose, thin films with different thicknesses and different PbO excess were deposited on Si(100) and Pt=T iO 2 =SiO 2 =Si substrates by a chemical method and crystallized in electric furnace at 700 deg C for 1 hour. The effects of substrate, pyrolysis temperature and excess lead addition in the films are reported. For films with 10 mol% PbO in excess, the pyrolysis in the regime of 300 deg C for 30 minutes was observed to yield PZT pyrochlore free thin films deposited on Pt=T iO 2 =SiO 2 =Si substrate. Out this condition, the transformation from amorphous to the pyrochlore metastable phase is kinetically more favorable that a transformation to the perovskite phase, which is thermodynamically stable. Rietveld refinements based on X-ray diffraction results showed that films present a purely tetragonal phase and that this phase does not change when the film thickness decreases. The dielectric permittivity measurements showed a monoclinic → tetragonal phase transition at 198K. Results showed that the dielectric permittivity (ε) increases continuously from 257 to 463, while the thickness of the PZT films increases from 200 to 710 nm. These results suggests that interface pinning centers can be the responsible mechanism by

  19. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    Science.gov (United States)

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  1. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-02-01

    Ba0.7Sr0.3TiO3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 °C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (˜0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness.

  2. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 deg. C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (∼0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness

  3. Sub-Micrometer Zeolite Films on Gold-Coated Silicon Wafers with Single-Crystal-Like Dielectric Constant and Elastic Modulus

    Energy Technology Data Exchange (ETDEWEB)

    Tiriolo, Raffaele [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Rangnekar, Neel [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Zhang, Han [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Shete, Meera [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Bai, Peng [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Nelson, John [Characterization Facility, University of Minnesota, 12 Shepherd Labs, 100 Union St. S.E. Minneapolis MN 55455 USA; Karapetrova, Evguenia [Surface Scattering and Microdiffraction, X-ray Science Division, Argonne National Laboratory, 9700 S. Cass Ave, Building 438-D002 Argonne IL 60439 USA; Macosko, Christopher W. [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Siepmann, Joern Ilja [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Lamanna, Ernesto [Department of Health Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Lavano, Angelo [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Tsapatsis, Michael [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA

    2017-05-08

    A low-temperature synthesis coupled with mild activation produces zeolite films exhibiting low dielectric constant (low-k) matching the theoretically predicted and experimentally measured values for single crystals. This synthesis and activation method allows for the fabrication of a device consisting of a b-oriented film of the pure-silica zeolite MFI (silicalite-1) supported on a gold-coated silicon wafer. The zeolite seeds are assembled by a manual assembly process and subjected to optimized secondary growth conditions that do not cause corrosion of the gold underlayer, while strongly promoting in-plane growth. The traditional calcination process is replaced with a non-thermal photochemical activation to ensure preservation of an intact gold layer. The dielectric constant (k), obtained through measurement of electrical capacitance in a metal-insulator-metal configuration, highlights the ultralow k approximate to 1.7 of the synthetized films, which is among the lowest values reported for an MFI film. There is large improvement in elastic modulus of the film (E approximate to 54 GPa) over previous reports, potentially allowing for integration into silicon wafer processing technology.

  4. Current-voltage hysteresis and dielectric properties of PVA coated MWCNT film

    Science.gov (United States)

    Das, Amit Kumar; Meikap, Ajit Kumar

    2017-12-01

    In this work, we have prepared polyvinyl alcohol (PVA) coated multiwall carbon nanotube (MWCNT) film by an in situ chemical oxidative preparation technique. The thermogravimetric analysis clearly explains the thermal degradation of pure polymer and polymer nanocomposite film. We have studied the AC electrical transport properties and current-voltage (I-V) characteristic of PVA-MWCNT composites within the temperature range 300 ≤ T ≤ 423 K and frequency range 150 Hz ≤ f ≤ 2 MHz. It is observed that the dielectric constant of the composite film increases significantly. The frequency variation of AC conductivity follows the power law ( ωS ) and a sharp transition from small polaron tunneling to correlated barrier hopping model is found. The imaginary part of electric modulus shows non-Debye type asymmetric behaviour. The impedance spectroscopy shows the negative temperature coefficient of resistance of the composite film. Nyquist plot of the composite film at different temperatures is established from impedance measurement. The current-voltage characteristic (under ± 20 V) shows hysteresis behaviour and field dependent resistance. We simulate the experimentally observed current density-electric field data with the established theory.

  5. Current-voltage hysteresis and dielectric properties of PVA coated MWCNT film

    Science.gov (United States)

    Das, Amit Kumar; Meikap, Ajit Kumar

    2018-06-01

    In this work, we have prepared polyvinyl alcohol (PVA) coated multiwall carbon nanotube (MWCNT) film by an in situ chemical oxidative preparation technique. The thermogravimetric analysis clearly explains the thermal degradation of pure polymer and polymer nanocomposite film. We have studied the AC electrical transport properties and current-voltage (I-V) characteristic of PVA-MWCNT composites within the temperature range 300 ≤ T ≤ 423 K and frequency range 150 Hz ≤ f ≤ 2 MHz. It is observed that the dielectric constant of the composite film increases significantly. The frequency variation of AC conductivity follows the power law ( ωS ) and a sharp transition from small polaron tunneling to correlated barrier hopping model is found. The imaginary part of electric modulus shows non-Debye type asymmetric behaviour. The impedance spectroscopy shows the negative temperature coefficient of resistance of the composite film. Nyquist plot of the composite film at different temperatures is established from impedance measurement. The current-voltage characteristic (under ± 20 V) shows hysteresis behaviour and field dependent resistance. We simulate the experimentally observed current density-electric field data with the established theory.

  6. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  7. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    Science.gov (United States)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  8. Reel-to-Reel Atmospheric Pressure Dielectric Barrier Discharge (DBD Plasma Treatment of Polypropylene Films

    Directory of Open Access Journals (Sweden)

    Lukas JW Seidelmann

    2017-03-01

    Full Text Available Atmospheric pressure plasma treatment of the surface of a polypropylene film can significantly increase its surface energy and, thereby improve the printability of the film. A laboratory-scale dielectric barrier discharge (DBD system has therefore been developed, which simulates the electrode configuration and reel-to-reel web transport mechanism used in a typical industrial-scale system. By treating the polypropylene in a nitrogen discharge, we have shown that the water contact angle could be reduced by as much as 40° compared to the untreated film, corresponding to an increase in surface energy of 14 mNm−1. Ink pull-off tests showed that the DBD plasma treatment resulted in excellent adhesion of solvent-based inks to the polypropylene film.

  9. Ion transport study in polymer-nanocomposite films by dielectric spectroscopy and conductivity scaling

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, Namrata, E-mail: ntripat@ilstu.edu [Department of Physics, Illinois State University, Normal, IL 61790 (United States); Thakur, Awalendra K. [Department of Physics, Indian Institute of Technology Patna, Bihar 800013 (India); Shukla, Archana [Department of Metallurgical Engineering & Materials Science, Indian Institute of Technology, Bombay 721302 (India); Marx, David T. [Department of Physics, Illinois State University, Normal, IL 61790 (United States)

    2015-07-15

    The dielectric and conductivity response of polymer nanocomposite electrolytes (films of PMMA{sub 4}LiClO{sub 4} dispersed with nano-CeO{sub 2} powder) have been investigated. The dielectric behavior was analyzed via the dielectric permittivity (ε′) and dissipation factor (tan δ) of the samples. The analysis has shown the presence of space charge polarization at lower frequencies. The real part of ac conductivity spectra of materials obeys the Jonscher power law. Parameters such as dc conductivity, hopping rate, activation energies and the concentration of charge carriers were determined from conductivity data using the Almond West formalism. It is observed that the higher ionic conductivity at higher temperature is due to increased thermally-activated hopping rates accompanied by a significant increase in carrier concentration. The contribution of carrier concentration to the total conductivity is also confirmed from activation energy of migration conduction and from Summerfield scaling. The ac conductivity results are also well correlated with TEM results.

  10. Ion transport study in polymer-nanocomposite films by dielectric spectroscopy and conductivity scaling

    Science.gov (United States)

    Tripathi, Namrata; Thakur, Awalendra K.; Shukla, Archana; Marx, David T.

    2015-07-01

    The dielectric and conductivity response of polymer nanocomposite electrolytes (films of PMMA4LiClO4 dispersed with nano-CeO2 powder) have been investigated. The dielectric behavior was analyzed via the dielectric permittivity (ε‧) and dissipation factor (tan δ) of the samples. The analysis has shown the presence of space charge polarization at lower frequencies. The real part of ac conductivity spectra of materials obeys the Jonscher power law. Parameters such as dc conductivity, hopping rate, activation energies and the concentration of charge carriers were determined from conductivity data using the Almond West formalism. It is observed that the higher ionic conductivity at higher temperature is due to increased thermally-activated hopping rates accompanied by a significant increase in carrier concentration. The contribution of carrier concentration to the total conductivity is also confirmed from activation energy of migration conduction and from Summerfield scaling. The ac conductivity results are also well correlated with TEM results.

  11. Impedance spectroscopic and dielectric analysis of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A. [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Kahouli, A., E-mail: kahouli.kader@yahoo.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Sylvestre, A., E-mail: alain.sylvestre@grenoble.cnrs.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Defaye, E. [CEA-LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Yangui, B. [Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia)

    2012-07-15

    Highlights: Black-Right-Pointing-Pointer The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3}. Black-Right-Pointing-Pointer The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. Black-Right-Pointing-Pointer The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. Black-Right-Pointing-Pointer The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin film with Pt/BST/Pt/TiO{sub 2}/SiO{sub 2} structure was prepared by ion beam sputtering. The film was post annealed at 700 Degree-Sign C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1-10{sup 5} Hz] at different temperatures [175-350 Degree-Sign C]. The Nyquist plots (Z Double-Prime vs . Z Prime ) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z Double-Prime and M Double-Prime are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M{sup Double-Prime }/M{sup Double-Prime }{sub max} shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  12. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Noriega, J.R.; Vasselli, J.J. [Electrical Engineering Department, The University of Texas at Tyler, Tyler, TX 75799 (United States); Chabal, Y.J. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Gnade, B.E., E-mail: gnade@utdallas.edu [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States)

    2013-12-02

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm{sup −1} and 362 MVm{sup −1} for neat and crosslinked films.

  13. Stable dielectric response of low-loss aromatic polythiourea thin films on Pt/SiO2 substrate

    Directory of Open Access Journals (Sweden)

    A. Eršte

    2016-03-01

    Full Text Available We have investigated dielectric properties of aromatic polythiourea (ArPTU, a polar polymer containing high dipolar moments with very low defect levels thin films that were developed on Pt/SiO2 substrate. The detected response is compared to the response of commercially available polymers, such as high density polyethylene (HDPE and polypropylene (PP, which are at present used in foil capacitors. Stable values of the dielectric constant ε′≈5 (being twice higher than in HDPE and PP over broad temperature and frequency ranges and dielectric losses as low as in commercial systems suggest ArPTU as a promising candidate for future use in a variety of applications.

  14. Comparison of effective relative dielectric permittivities obtained by three independent ways for CeO2-Sm2O3 films prepared by EB-PVD (+IBAD) techniques

    International Nuclear Information System (INIS)

    Kundracik, F.; Neilinger, P.; Hartmanova, M.; Nadazdy, V.; Mansilla, C.

    2011-01-01

    Ceria, as material with relatively high dielectric permittivity, ε r , and ability to form films on the Si substrate, is a candidate for the gate dielectrics in the MOS devices. Doping with suitable e.g. trivalent rare earth oxides and suitable treatment after deposition (preparation) can improve their properties, e.g. ionic conductivity, dielectric permittivity and mechanical hardness. In this work, the dielectric properties of CeO 2 + Sm 2 O 3 films prepared by electron beam physical vapour deposition (EB-PVD) and some of them simultaneously also by the Ar + ionic beam assisted deposition (IBAD) techniques are analysed. (authors)

  15. Understanding S-Shaped Current-Voltage Characteristics in Organic Solar Cells Containing a TiOx Inter layer with Impedance Spectroscopy and Equivalent Circuit Analysis

    NARCIS (Netherlands)

    Ecker, Bernhard; Egelhaaf, Hans-Joachim; Steim, Roland; Parisi, Juergen; von Hauff', Elizabeth

    2012-01-01

    In this study we propose an equivalent circuit model to describe S-shaped current–voltage (I–V) characteristics in inverted solar cells with a TiOx interlayer between the cathode and the poly(3-hexylthiophene):[6,6]-phenyl C61 butyric acid methyl ester active layer. Initially the solar cells

  16. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  17. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  18. Effect of yttrium doping on the dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} thin film produced by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Viswanathan S., E-mail: vssaji@chosun.ac.k [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of); Choe, Han Cheol [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of)

    2009-05-29

    Pure and yttrium substituted CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x/} {sub 2} (x = 0, 0.02, 0.1) thin films were prepared on boron doped silica substrate employing chemical solution deposition, spin coating and rapid thermal annealing. The phase and microstructure of the sintered films were examined using X-ray diffraction and scanning electron microscopy. Dielectric properties of the films were measured at room temperature using electrochemical impedance spectroscopy. Highly ordered polycrystalline CCTO thin film with bimodal grain size distribution was achieved at a sintering temperature of 800 {sup o}C. Yttrium doping was found to have beneficial effects on the dielectric properties of CCTO thin film. Dielectric parameters obtained for a CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x} {sub /2} (x = 0.02) film at 1 KHz were k {approx} 2700 and tan {delta} {approx} 0.07.

  19. Amorphous Dielectric Thin Films with Extremely Low Mechanical Loss

    Directory of Open Access Journals (Sweden)

    Liu X.

    2015-04-01

    Full Text Available The ubiquitous low-energy excitations are one of the universal phenomena of amorphous solids. These excitations dominate the acoustic, dielectric, and thermal properties of structurally disordered solids. One exception has been a type of hydrogenated amorphous silicon (a-Si:H with 1 at.% H. Using low temperature elastic and thermal measurements of electron-beam evap-orated amorphous silicon (a-Si, we show that TLS can be eliminated in this system as the films become denser and more structurally ordered under certain deposition conditions. Our results demonstrate that TLS are not intrinsic to the glassy state but instead reside in low density regions of the amorphous network. This work obviates the role hydrogen was previously thought to play in removing TLS in a-Si:H and favors an ideal four-fold covalently bonded amorphous structure as the cause for the disappearance of TLS. Our result supports the notion that a-Si can be made a “perfect glass” with “crystal-like” properties, thus offering an encouraging opportunity to use it as a simple crystal dielectric alternative in applications, such as in modern quantum devices where TLS are the source of dissipation, decoherence and 1/f noise.

  20. Magnetic, dielectric and magnetodielectric properties of PVDF-La0.7Sr0.3MnO3 polymer nanocomposite film

    Directory of Open Access Journals (Sweden)

    Ch. Thirmal

    2013-11-01

    Full Text Available We have investigated the structure, magnetic and dielectric properties of PVDF-La0.7Sr0.3MnO3 polymer nanocomposite thick film fabricated by dip coating technique along with the magnetodielectric effect. The structure and dielectric properties show the enhanced β phase in the composite compared to the PVDF film. The coupling between the ferroelectric and magnetic phases in the composite is revealed in the form of dielectric anomaly at the ferromagnetic Curie temperature. We observed 1.9% magnetodielectric effect at 300 K with the possibility of enhanced effect near the transition temperature. In addition, the analysis of the electric modulus indicates that the composite exhibits interfacial related relaxation and it follows Arrhenius Law. Our study suggests that the ac conductivity of the PVDF-La0.7Sr0.3MnO3 composite could be explained by correlated barrier hopping mechanism.

  1. Toward superlensing with metal-dielectric composites and multilayers

    DEFF Research Database (Denmark)

    Nielsen, Rasmus Bundgaard; Thoreson, M.D.; Chen, W.

    2010-01-01

    We report on the fabrication of two types of adjustable, near-field superlens designs: metal–dielectric composites and metal–dielectric multilayer films. We fabricated a variety of films with different materials, thicknesses and compositions. These samples were characterized physically...... and optically to determine their film composition, quality, and optical responses. Our results on metal–dielectric composites indicate that although the real part of the effective permittivity generally follows effective medium theory predictions, the imaginary part does not and substantially higher losses...

  2. Synthesis, Structural, Optical and Dielectric Properties of Nanostructured 0-3 PZT/PVDF Composite Films.

    Science.gov (United States)

    Revathi, S; Kennedy, L John; Basha, S K Khadheer; Padmanabhan, R

    2018-07-01

    Nanostructured PbZr0.52Ti0.48O3 (PZT) powder was synthesized at 500 °C-800 °C using sol-gel route. X-ray diffraction and Rietveld analysis confirmed the formation of perovskite structure. The sample heat treated at 800 °C alone showed the formation of morphotropic phase boundary with coexistence of tetragonal and rhombohedral phase. The PZT powder and PVDF were used in 0-3 connectivity to form the PZT/PVDF composite film using solvent casting method. The composite films containing 10%, 50%, 70% and 80% volume fraction of PZT in PVDF were fabricated. The XRD spectra validated that the PZT structure remains unaltered in the composites and was not affected by the presence of PVDF. The scanning electron microscopy images show good degree of dispersion of PZT in PVDF matrix and the formation of pores at higher PZT loading. The quantitative analysis of elements and their composition were confirmed from energy dispersive X-ray analysis. The optical band gap of the PVDF film is 3.3 eV and the band gap decreased with increase in volume fraction of PZT fillers. The FTIR spectra showed the bands corresponding to different phases of PVDF (α, β, γ) and perovskite phase of PZT. The thermogravimetric analysis showed that PZT/PVDF composite films showed better thermal stability than the pure PVDF film and hydrophobicity. The dielectric constant was measured at frequency ranging from 1 Hz to 6 MHz and for temperature ranging from room temperature to 150 °C. The composite with 50% PZT filler loading shows the maximum dielectric constant at the studied frequency and temperature range with flexibility.

  3. Dielectric silicone elastomers with mixed ceramic nanoparticles

    International Nuclear Information System (INIS)

    Stiubianu, George; Bele, Adrian; Cazacu, Maria; Racles, Carmen; Vlad, Stelian; Ignat, Mircea

    2015-01-01

    Highlights: • Composite ceramics nanoparticles (MCN) with zirconium dioxide and lead zirconate. • Dielectric elastomer films wDith PDMS matrix and MCN as dielectric filler. • Hydrophobic character—water resistant and good flexibility specific to siloxanes. • Increased value of dielectric constant with the content of MCN in dielectric films. • Increased energy output from uniaxial deformation of the dielectric elastomer films. - Abstract: A ceramic material consisting in a zirconium dioxide-lead zirconate mixture has been obtained by precipitation method, its composition being proved by wide angle X-ray powder diffraction and energy-dispersive X-ray spectroscopy. The average diameter of the ceramic particles ranged between 50 and 100 nm, as revealed by transmission electron microscopy images. These were surface treated and used as filler for a high molecular mass polydimethylsiloxane-α,ω-diol (Mn = 450,000) prepared in laboratory, the resulted composites being further processed as films and crosslinked. A condensation procedure, unusual for polydimethylsiloxane having such high molecular mass, with a trifunctional silane was approached for the crosslinking. The effect of filler content on electrical and mechanical properties of the resulted materials was studied and it was found that the dielectric permittivity of nanocomposites increased in line with the concentration of ceramic nanoparticles

  4. Dielectric silicone elastomers with mixed ceramic nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Stiubianu, George, E-mail: george.stiubianu@icmpp.ro [“Petru Poni” Institute of Macromolecular Chemistry, Aleea Gr. Ghica Voda 41A, Iasi 700487 (Romania); Bele, Adrian; Cazacu, Maria; Racles, Carmen; Vlad, Stelian [“Petru Poni” Institute of Macromolecular Chemistry, Aleea Gr. Ghica Voda 41A, Iasi 700487 (Romania); Ignat, Mircea [National R& D Institute for Electrical Engineering ICPE-CA Bucharest, Splaiul Unirii 313, District 3, Bucharest 030138 (Romania)

    2015-11-15

    Highlights: • Composite ceramics nanoparticles (MCN) with zirconium dioxide and lead zirconate. • Dielectric elastomer films wDith PDMS matrix and MCN as dielectric filler. • Hydrophobic character—water resistant and good flexibility specific to siloxanes. • Increased value of dielectric constant with the content of MCN in dielectric films. • Increased energy output from uniaxial deformation of the dielectric elastomer films. - Abstract: A ceramic material consisting in a zirconium dioxide-lead zirconate mixture has been obtained by precipitation method, its composition being proved by wide angle X-ray powder diffraction and energy-dispersive X-ray spectroscopy. The average diameter of the ceramic particles ranged between 50 and 100 nm, as revealed by transmission electron microscopy images. These were surface treated and used as filler for a high molecular mass polydimethylsiloxane-α,ω-diol (Mn = 450,000) prepared in laboratory, the resulted composites being further processed as films and crosslinked. A condensation procedure, unusual for polydimethylsiloxane having such high molecular mass, with a trifunctional silane was approached for the crosslinking. The effect of filler content on electrical and mechanical properties of the resulted materials was studied and it was found that the dielectric permittivity of nanocomposites increased in line with the concentration of ceramic nanoparticles.

  5. Surface Treatment of Polypropylene Films Using Dielectric Barrier Discharge with Magnetic Field

    International Nuclear Information System (INIS)

    Wang Changquan; Zhang Guixin; Wang Xinxin; Chen Zhiyu

    2012-01-01

    Atmospheric pressure non-thermal plasma is of interest for industrial applications. In this study, polypropylene (PP) films are modified by a dielectric barrier discharge (DBD) with a non-uniform magnetic field in air at atmospheric pressure. The surface properties of the PP films before and after a DBD treatment are studied by using contact angle measurement, atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The effect of treatment time on the surface modification with and without a magnetic field is investigated. It is found that the hydrophilic improvement depends on the treatment time and magnetic field. It is also found that surface roughness and oxygen-containing groups are introduced onto the PP film surface after the DBD treatment. Surface roughness and oxygen-containing polar functional groups of the PP films increase with the magnetic induction density. The functional groups are identified as C-O, C=O and O-C=O by using XPS analysis. It is concluded that the hydrophilic improvement of PP films treated with a magnetic field is due to a greater surface roughness and more oxygen-containing groups. (plasma technology)

  6. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  7. Thickness-dependent piezoelectric behaviour and dielectric properties of lanthanum modified BiFeO3 thin films

    Directory of Open Access Journals (Sweden)

    Glenda Biasotto

    2011-03-01

    Full Text Available Bi0.85La0.15FeO3 (BLFO thin films were deposited on Pt(111/Ti/SiO2 /Si substrates by the soft chemical method. Films with thicknesses ranging from 140 to 280 nm were grown on platinum coated silicon substrates at 500°C for 2 hours. The X-ray diffraction analysis of BLFO films evidenced a hexagonal structure over the entire thickness range investigated. The grain size of the film changes as the number of the layers increases, indicating thickness dependence. It is found that the piezoelectric response is strongly influenced by the film thickness. It is shown that the properties of BiFeO3 thin films, such as lattice parameter, dielectric permittivity, piezoeletric coefficient etc., are functions of misfit strains.

  8. Enhanced transmission through arrays of subwavelength holes in gold films coated by a finite dielectric layer

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Mortensen, Niels Asger; Qiu, M.

    2007-01-01

    resonances attributing to the enhanced transmission: the localized waveguide resonance and periodic surface plasmon resonances. For the film coated with dielectric layers, calculated results show that in the wavelength region of interest the localized waveguide resonant mode attributes to sensing rather than...

  9. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  10. Effect of splat morphology on the microstructure and dielectric properties of plasma sprayed barium titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Pakseresht, A.H., E-mail: amirh_pak@yahoo.com [Center of Ceramic Coatings, Department of Ceramics, Materials and Energy Research Center, PO Box 31787-316, Karaj (Iran, Islamic Republic of); Rahimipour, M.R. [Center of Ceramic Coatings, Department of Ceramics, Materials and Energy Research Center, PO Box 31787-316, Karaj (Iran, Islamic Republic of); Vaezi, M.R. [Department of Nanotechnology and Advanced Materials, Materials and Energy Research Center, PO Box 31787-316, Karaj (Iran, Islamic Republic of); Salehi, M. [Department of Materials Engineering, Isfahan University of Technology, PO Box 84156-83111, Isfahan (Iran, Islamic Republic of)

    2015-01-01

    Highlights: • BaTiO{sub 3} splats were collected on the mirror polished substrate for the first time. • There was no amorphous phase in the coating collected on the preheated substrate. • The dielectric coefficient was increased by a factor of 3 for the coatings sprayed on the preheated substrates. - Abstract: In the thermal spray process, the film is built up by impingement of molten droplets onto substrate. Splats are created by flattening and spreading of the impacting droplets. Splat morphology can determine the microstructure, physical and mechanical properties of the coating. In this study, BaTiO{sub 3} films were deposited onto a mirror polished stainless steel substrates kept at three different temperatures (25, 300 and 600 °C). At the elevated temperatures, the substrate surface topography and the desorbtion of adsorbates and condensate at the substrate surface are two important factors which change the morphology of the individual splats, from splash morphology to disk-like shape. Splat morphology can determine deposit microstructure and improve the coating properties. The morphology of individual splats and the films were studied using scanning electron microscopy. Phase formation of the films was analyzed by X-ray diffraction. The surface topography of the substrates with different temperatures was analyzed using atomic force microscopy. Furthermore, X-ray photoelectron spectroscopy was performed to determine the chemical compositions of the surface substrate. Results indicated that the porosity in the film produced at room temperature was higher than that in the film deposited on the heated substrates. Also, the dielectric coefficient of the films was increased by decreasing the common defects from 120 to 505 for film deposited on as-received and heated substrates, respectively.

  11. Quantitative analysis and optimization of gravure printed metal ink, dielectric, and organic semiconductor films.

    Science.gov (United States)

    Higgins, Stuart G; Boughey, Francesca L; Hills, Russell; Steinke, Joachim H G; Muir, Beinn V O; Campbell, Alasdair J

    2015-03-11

    Here we demonstrate the optimization of gravure printed metal ink, dielectric, and semiconductor formulations. We present a technique for nondestructively imaging printed films using a commercially available flatbed scanner, combined with image analysis to quantify print behavior. Print speed, cliché screen density, nip pressure, the orientation of print structures, and doctor blade extension were found to have a significant impact on the quality of printed films, as characterized by the spreading of printed structures and variation in print homogeneity. Organic semiconductor prints were observed to exhibit multiple periodic modulations, which are correlated to the underlying cell structure.

  12. Dielectric properties: A gateway to antibacterial assay-A case study of low-density polyethylene/chitosan composite films.

    Digital Repository Service at National Institute of Oceanography (India)

    Sunilkumar, M.; Gafoor, A.A.; Anas, A.; Haseena, A.P.; Sujith, A.

    anhydride and dicumyl peroxide were used as a coupling agent and a free radical initiator, respectively. The dielectric properties of the composite films were studied as a function of chitosan loading, presence of plasticizer and variable applied frequency...

  13. Perfect coupling of light to a periodic dielectric/metal/dielectric structure

    Science.gov (United States)

    Wang, Zhengling; Li, Shiqiang; Chang, R. P. H.; Ketterson, John B.

    2014-07-01

    Using the finite difference time domain method, it is demonstrated that perfect coupling can be achieved between normally incident light and a periodic dielectric/metal/dielectric structure. The structure serves as a diffraction grating that excites modes related to the long range surface plasmon and short range surface plasmon modes that propagate on continuous metallic films. By optimizing the structural dimensions, perfect coupling is achieved between the incident light and these modes. A high Q of 697 and an accompanying ultrasharp linewidth of 0.8 nm are predicted for a 10 nm silver film for optimal conditions.

  14. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  15. Influence of standing-wave fields on the laser damage resistance of dielectric films

    International Nuclear Information System (INIS)

    Newnam, B.E.; Gill, D.H.; Faulkner, G.

    1973-01-01

    The influence of standing-wave electric fields on the damage resistance of dielectric thin films was evaluated for the case of 30-ps laser pulses at 1.06 μm. Single-layer films of TiO 2 , ZrO 2 , SiO 2 , and MgF 2 were deposited by state-of-the-art electron-gun evaporation on BK-7 glass substrates with uniform surface preparation. The film thicknesses ranged from one to five quarter-wave increments. The thresholds for TiO 2 films of odd quarter-wave thickness were greater than for even multiples which correlated well with the calculated internal maximum electric fields. Threshold variations for ZrO 2 films were apparent but not as distinctly periodic with film thickness. Negligible variations were obtained for SiO 2 films, again correlating with electric-field calculations. Results of additional tests allowed comparisons of thresholds for 1) back-and front-surface films for normal incidence; 2) S- and P-polarized radiation at an incidence angle of 60 0 ; and 3) circular and linear polarizations for normal incidence. The thresholds were compared with calculated standing-wave field patterns at various locations in the films. A correlation was generally found between the internal field maxima and the thresholds, but in a few coatings, defects apparently decreased or prevented any correlation. (auth)

  16. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  17. Study of surface-modified PVP gate dielectric in organic thin film transistors with the nano-particle silver ink source/drain electrode.

    Science.gov (United States)

    Yun, Ho-Jin; Ham, Yong-Hyun; Shin, Hong-Sik; Jeong, Kwang-Seok; Park, Jeong-Gyu; Choi, Deuk-Sung; Lee, Ga-Won

    2011-07-01

    We have fabricated the flexible pentacene based organic thin film transistors (OTFTs) with formulated poly[4-vinylphenol] (PVP) gate dielectrics treated by CF4/O2 plasma on poly[ethersulfones] (PES) substrate. The solution of gate dielectrics is made by adding methylated poly[melamine-co-formaldehyde] (MMF) to PVP. The PVP gate dielectric layer was cross linked at 90 degrees under UV ozone exposure. Source/drain electrodes are formed by micro contact printing (MCP) method using nano particle silver ink for the purposes of low cost and high throughput. The optimized OTFT shows the device performance with field effect mobility of the 0.88 cm2/V s, subthreshold slope of 2.2 V/decade, and on/off current ratios of 1.8 x 10(-6) at -40 V gate bias. We found that hydrophobic PVP gate dielectric surface can influence on the initial film morphologies of pentacene making dense, which is more important for high performance OTFTs than large grain size. Moreover, hydrophobic gate dielelctric surface reduces voids and -OH groups that interrupt the carrier transport in OTFTs.

  18. Embedded plasmonic nanoparticles in high refractive index TiOx matrix for photovoltaics applications

    DEFF Research Database (Denmark)

    Pastorelli, Francesco

    Resume: More frequently high refractive index dielectric matrix are used in thin film photovoltaics as transporting layers with good optical proprieties. Doping such matrix with plasmonic resonant scatterers is a promising way to further increase energy conversion efficiencies by trapping incoming...... light in ultrathin solar cells. Colloidal plasmonic oligomers are obtained following a cost-effective selfassembly strategy and incorporated in organic based cells produced using spincoating techniques in ambient air conditions. An interesting increase is observed of both external quantum efficiency...

  19. Theory of the optical and microwave properties of metal-dielectric films

    International Nuclear Information System (INIS)

    Sarychev, A.K.; Bergman, D.J.; Yagil, Y.

    1995-01-01

    We present a detailed theoretical study of the high frequency response of thin, metal-dielectric inhomogeneous films. Semicontinuous metal films are normally prepared by thermal evaporation or sputtering of the metal on an insulating substrate. The optical properties of such films show anomalous phenomena, which are absent in both the bulk metal and the bulk insulator. Our approach is based upon a direct solution of Maxwell's equations, without having to invoke the quasi-static approximation. Electric and magnetic fields outside the film are related to the currents inside the film. The electromagnetic properties of semicontinuous films are described by two Ohmic parameters, in contrast with the usual description by a single complex conductivity. Our theory reproduces most of the known experimental data. For example, we are able to explain a prominent absorption band near the percolation threshold, which was observed previously in such systems, as well as some other peculiar features of the reflectance and transmittance. We find that metal-dieletric films can exhibit very interesting properties when there is a strong skin effect in the metal grains. The surface conductivity has a universal value c/(2π) at the percolation threshold. We predict that under such conditions the absorptance A, as a funciton of the metal concentration, is dome shaped with sharp edges. It has a maximum at the percolation threshold and its value at this point is universal, namely A=0.5, while the reflectance R and transmittance T have the equal universal value R=T=0.25. This approach can be extended to semicontinuous superconducting films. Such films are also expected to have a well defined absorption band near the percolation threshold. We believe that such a threshold can be approached not only by decreasing the superconductor concentration but also by increasing the temperature towards and above the critical temperature

  20. Studies on dielectric properties, opto-electrical parameters and electronic polarizability of thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hassanien, Ahmed Saeed, E-mail: a.s.hassanien@gmail.com [Engineering Mathematics and Physics Department, Faculty of Engineering (Shoubra), Benha University (Egypt); Physics Department, Faculty of Science and Humanities in Ad-Dawadmi, Shaqra University, 11911 (Saudi Arabia)

    2016-06-25

    The objective of this work is to study the influence of the addition of more Se on dielectric properties, opto-electrical parameters and electronic polarizability of amorphous chalcogenide Cd{sub 50}S{sub 50−x}Se{sub x} thin films (30 ≤ x ≤ 50 at%). Thin films of thickness 200 nm were synthesized by vacuum deposition at ≈8.2 × 10{sup −4} Pa. Both refractive index and extinction coefficient were used to obtain all the studied parameters. The high frequency dielectric constant, real and imaginary parts of dielectric constant were discussed. Drude theory was applied to investigate opto-electrical parameters, like optical carrier concentration, optical mobility and optical resistivity. Moreover, other parameters were investigated and studied, e.g. Drude parameters, volume and surface energy loss functions, dielectric loss factor, dielectric relaxation time, complex optical conductivity and electronic polarizability as well as optical electronegativity and third-order nonlinear optical susceptibility. Values of electronic polarizability and nonlinear optical susceptibility were found to be decreased while optical electronegativity increased as Se-content was increased. Increment of Se-content in amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films has also led to minimize the energy losses when electromagnetic waves propagate through films as well as optical conductivity and the speed of light increased. The other studied properties and parameters of Cd{sub 50}S{sub 50−x}Se{sub x} films were found to be strongly dependent upon Se-content. - Highlights: • Thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} (30 ≤ x ≤ 50) thin films were deposited. • Refractive index and absorption index were used to determine almost all properties. • Dielectric properties, Drude parameters and electronic polarizability were studied. • Addition of more Se to CdSSe matrix led to improve the opto-electrical properties. • New data were obtained and

  1. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    International Nuclear Information System (INIS)

    Zhu Xiaohong; Ren Yinjuan; Zhang Caiyun; Zhu Jiliang; Zhu Jianguo; Xiao Dingquan; Defaÿ, Emmanuel; Aïd, Marc

    2013-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm −1 ) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes. (paper)

  2. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    Science.gov (United States)

    Zhu, Xiaohong; Defaÿ, Emmanuel; Aïd, Marc; Ren, Yinjuan; Zhang, Caiyun; Zhu, Jiliang; Zhu, Jianguo; Xiao, Dingquan

    2013-03-01

    Ba0.7Sr0.3TiO3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm-1) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes.

  3. Dielectric response of capacitor structures based on PZT annealed at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Kamenshchikov, Mikhail V., E-mail: Mikhailkamenshchikov@yandex.ru [Tver State University, 170002, Tver (Russian Federation); Solnyshkin, Alexander V. [Tver State University, 170002, Tver (Russian Federation); Pronin, Igor P. [Ioffe Institute, 194021, St. Petersburg (Russian Federation)

    2016-12-09

    Highlights: • Correlation of the microstructure of PZT films and dielectric response was found. • Difference of dielectric responses under low and high bias is caused by domains. • Internal fields is discussed on the basis of the space charges. • Dependences of PZT films characteristics on synthesis temperature are extremal. - Abstract: Dielectric response of thin-film capacitor structures of Pt/PZT/Pt deposited by the RF magnetron sputtering method and annealed at temperatures of 540–570 °C was investigated. It was found that dielectric properties of these structures depend on the synthesis temperature. Stability of a polarized state is considered on the basis of the analysis of hysteresis loops and capacitance–voltage (C–V) characteristics. The contribution of the domain mechanism in the dielectric response of the capacitor structure comprising a ferroelectric is discussed. Extreme dependences of electrophysical characteristics of PZT films on their synthesis temperature were observed. Correlation of dielectric properties with microstructure of these films is found out.

  4. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  5. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  6. Effects of crystallization on structural and dielectric properties of thin amorphous films of (1 - x)BaTiO3-xSrTiO3 (x=0-0.5, 1.0)

    Science.gov (United States)

    Kawano, H.; Morii, K.; Nakayama, Y.

    1993-05-01

    The possibilities for fabricating solid solutions of (Ba1-x,Srx)TiO3 (x≤0.5,1.0) by crystallization of amorphous films and for improving their dielectric properties by adjusting the Sr content were investigated. Thin amorphous films were prepared from powder targets consisting of mixtures of BaTiO3 and SrTiO3 by sputtering with a neutralized Ar-ion beam. The amorphous films crystallized into (Ba1-x, Srx)TiO3 solid solutions with a cubic perovskite-type structure after annealing in air at 923 K for more than 1 h. The Debye-type dielectric relaxation was observed for the amorphous films, whereas the crystallized films showed paraelectric behavior. The relative dielectric constants were of the order of 20 for the amorphous samples, but increased greatly after crystallization to about 60-200, depending on the composition; a larger increase in the dielectric constant was observed in the higher Sr content films, in the range x≤0.5, which could be correlated with an increase in the grain size of the crystallites. The crystallization processes responsible for the difference in the grain size are discussed based on the microstructural observations.

  7. AC conductivity and dielectric properties of amorphous GexSb40-xSe60 thin films

    International Nuclear Information System (INIS)

    Atyia, H.E.; Farid, A.M.; Hegab, N.A.

    2008-01-01

    Measurements of AC conductivity and dielectric properties have been made for chalcogenide film samples of Ge x Sb 40-x Se 60 (with x=0, 10 and 20 at%) at different temperatures (303-393 K) and various frequencies (10 2 -10 5 Hz). It was found that the AC conductivity obeys the law σ(ω, T)=Aω s . The exponent s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W M was calculated from dielectric measurements according to the Guintini equation. It was found that the obtained value of W m agrees with that proposed by the theory of hopping of charge carriers over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states N(E F ) has also been calculated for the studied compositions. The effect of decreasing the Sb content at the expense of the Ge content was investigated for the obtained results of the studied parameters

  8. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  9. Experimental determination of thermal conductivities of dielectric thin films; Determination experimentale des conductivites thermiques de couches minces dielectriques

    Energy Technology Data Exchange (ETDEWEB)

    Scudeller, Y.; Hmina, N.; Lahmar, J.; Bardon, J.P. [Nantes Univ., 44 (France)

    1996-12-31

    This paper presents a method of measurement of thermal conductivity of sub-micron dielectric films in a direction perpendicular to the substrate. These films (oxides, nitrides, diamond..) are mainly used for the electrical insulation of semiconductor circuits and in optical treatments of high energy lasers. The principle of the method used and the experimental device are described. The results obtained with silicon oxides are discussed. (J.S.) 13 refs.

  10. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  11. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films

    Directory of Open Access Journals (Sweden)

    Huaping Wu

    2016-01-01

    Full Text Available The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110 orientation leads to a lower symmetry and more complicated phase transition than the (111 orientation in BaTiO3 films. The increase of compressive strain will dramatically enhance the Curie temperature TC of (110-oriented BaTiO3 films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110- and (111-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  12. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    Science.gov (United States)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  13. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  14. Studies on metal-dielectric plasmonic structures.

    Energy Technology Data Exchange (ETDEWEB)

    Chettiar, Uday K. (Purdue University, West Lafayette, IN); Liu, Zhengtong (Purdue University, West Lafayette, IN); Thoreson, Mark D. (Purdue University, West Lafayette, IN); Shalaev, Vladimir M. (Purdue University, West Lafayette, IN); Drachev, Vladimir P. (Purdue University, West Lafayette, IN); Pack, Michael Vern; Kildishev, Alexander V. (Purdue University, West Lafayette, IN); Nyga, Piotr (Purdue University, West Lafayette, IN)

    2010-01-01

    The interaction of light with nanostructured metal leads to a number of fascinating phenomena, including plasmon oscillations that can be harnessed for a variety of cutting-edge applications. Plasmon oscillation modes are the collective oscillation of free electrons in metals under incident light. Previously, surface plasmon modes have been used for communication, sensing, nonlinear optics and novel physics studies. In this report, we describe the scientific research completed on metal-dielectric plasmonic films accomplished during a multi-year Purdue Excellence in Science and Engineering Graduate Fellowship sponsored by Sandia National Laboratories. A variety of plasmonic structures, from random 2D metal-dielectric films to 3D composite metal-dielectric films, have been studied in this research for applications such as surface-enhanced Raman sensing, tunable superlenses with resolutions beyond the diffraction limit, enhanced molecular absorption, infrared obscurants, and other real-world applications.

  15. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  16. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.

  17. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tay, Roland Yingjie [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Tsang, Siu Hon [Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Loeblein, Manuela; Chow, Wai Leong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); CNRS-International NTU Thales Research Alliance CINTRA UMI 3288, Research Techno Plaza, 50 Nanyang Drive, Singapore, Singapore 637553 (Singapore); Loh, Guan Chee [Institue of High Performance Computing, 1 Fusionopolis Way, #16-16 Connexis, Singapore 138632 (Singapore); Department of Physics, Michigan Technological University, Houghton, Michigan 49931 (United States); Toh, Joo Wah; Ang, Soon Loong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Teo, Edwin Hang Tong, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore)

    2015-03-09

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random and uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.

  18. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Damon Rafieian

    2015-09-01

    Full Text Available We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx<2, obtained by sputtering at relatively low oxygen concentration, formed rutile upon annealing in air, whereas stoichiometric films formed anatase. This route therefore presents a formation route for rutile films via lower (<500 °C temperature pathways. The dynamics of the annealing process were followed by in situ ellipsometry, showing the optical properties transformation. The final crystal structures were identified by XRD. The anatase film obtained by this deposition method displayed high carriers mobility as measured by time-resolved microwave conductance. This also confirms the high photocatalytic activity of the anatase films.

  19. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  20. Large dielectric constant ({epsilon}/{epsilon}{sub 0}>6000) Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} thin films for high-performance microwave phase shifters

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C. M. [Department of Physics, University of Colorado, Boulder, Colorado 80309 (United States); Rivkin, T. V. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Parilla, P. A. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Perkins, J. D. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Ginley, D. S. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kozyrev, A. B. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Oshadchy, V. N. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Pavlov, A. S. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation)

    2000-04-03

    We deposited epitaxial Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST) films via laser ablation on MgO and LaAlO{sub 3} (LAO) substrates for tunable microwave devices. Postdeposition anneals ({approx}1100 degree sign C in O{sub 2}) improved the morphology and overall dielectric properties of films on both substrates, but shifted the temperature of maximum dielectric constant (T{sub max}) up for BST/LAO and down for BST/MgO. These substrate-dependent T{sub max} shifts had opposite effects on the room-temperature dielectric properties. Overall, BST films on MgO had the larger maximum dielectric constant ({epsilon}/{epsilon}{sub 0}{>=}6000) and tunability ({delta}{epsilon}/{epsilon}{>=}65%), but these maxima occurred at 227 K. 30 GHz phase shifters made from similar films had figures of merit (ratio of maximum phase shift to insertion loss) of {approx}45 degree sign /dB and phase shifts of {approx}400 degree sign under 500 V ({approx}13 V/{mu}m) bias, illustrating their utility for many frequency-agile microwave devices. (c) 2000 American Institute of Physics.

  1. Conduction mechanism and dielectric properties of a Se{sub 80}Ge{sub 20-x}Cd{sub x} (x = 0, 6 and 12 at.wt%) films

    Energy Technology Data Exchange (ETDEWEB)

    Shakra, A.M.; Farid, A.S.; Hegab, N.A.; Afifi, M.A. [Ain Shams University, Physics Department, Semiconductor Lab, Faculty of Education, Cairo (Egypt); Alrebati, A.M. [Taiz University, Physics Department, Faculty of Education, Taiz (Yemen)

    2016-09-15

    AC conductivity and dielectric properties of Se{sub 80}Ge{sub 20-x}Cd{sub x} (0 ≤ x ≤ 12 at.wt%) in thin film forms are reported in this paper. Thin films were deposited from the prepared compositions by thermal evaporation technique at 10{sup -5} Torr. The films were well characterized by X-ray diffraction, differential thermal analysis and energy-dispersive X-ray spectroscopy. The AC conductivity and dielectric properties have been investigated for the studied films in the temperature range 293-393 K and over a frequency range of 10{sup 2}-10{sup 5} Hz. The experimental results indicate that both AC conductivity σ {sub AC}(ω) and dielectric constants depend on temperature, frequency and Cd content. The frequency exponent s was calculated, and its value lies very close to unity and is temperature independent. This behavior can be explained in terms of the correlated barrier hopping between centers forming intimate valence alternation pairs. The density of localized states N(E{sub F}) at the Fermi level is estimated. The activation energy ΔE(ω) was found to decrease with increasing frequency. The maximum barrier height W{sub m} for the studied films was calculated from an analysis of the dielectric loss ε{sub 2} according to the Guintini equation. Its values agree with that proposed by the theory of hopping of charge carriers over potential barrier as suggested by Elliott for chalcogenide glasses. The variation of the studied properties with Cd content was also investigated. (orig.)

  2. Selective enhancement of Selényi rings induced by the cross-correlation between the interfaces of a two-dimensional randomly rough dielectric film

    Science.gov (United States)

    Banon, J.-P.; Hetland, Ø. S.; Simonsen, I.

    2018-02-01

    By the use of both perturbative and non-perturbative solutions of the reduced Rayleigh equation, we present a detailed study of the scattering of light from two-dimensional weakly rough dielectric films. It is shown that for several rough film configurations, Selényi interference rings exist in the diffusely scattered light. For film systems supported by dielectric substrates where only one of the two interfaces of the film is weakly rough and the other planar, Selényi interference rings are observed at angular positions that can be determined from simple phase arguments. For such single-rough-interface films, we find and explain by a single scattering model that the contrast in the interference patterns is better when the top interface of the film (the interface facing the incident light) is rough than when the bottom interface is rough. When both film interfaces are rough, Selényi interference rings exist but a potential cross-correlation of the two rough interfaces of the film can be used to selectively enhance some of the interference rings while others are attenuated and might even disappear. This feature may in principle be used in determining the correlation properties of interfaces of films that otherwise would be difficult to access.

  3. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Venkata Saravanan, K; James Raju, K C

    2014-01-01

    The surface chemical states of RF-magnetron sputtered Ba 0.5 Sr 0.5 TiO 3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O 2 − , adsorbed oxide ion O − and lattice oxide ion O 2− ) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP not ≦ 25%), whereas the films deposited in oxygen rich atmosphere (OMP not ≧ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O 2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ∼65% (@280 kV cm −1 ), with good ϵ r -E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications. (papers)

  4. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024 (China); Ma, Xuefu; Zhang, Zheng; Zeng, Jun; Chai, Guozhong [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Wang, Jie [Department of Engineering Mechanics, School of Aeronautics and Astronautics, Zhejiang University, Hangzhou 310027 (China)

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  5. Inverted bulk-heterojunction organic solar cell using chemical bath deposited titanium oxide as electron collection layer

    OpenAIRE

    Kuwabara, Takayuki; Sugiyama, Hirokazu; Kuzuba, Mitsuhiro  ; Yamaguchi, Takahiro; Takahashi, Kohshin

    2010-01-01

    Chemical bath deposited titanium oxide (TiOx ) as an electron collection layer is introduced between the organic layer and the indium tin oxide (ITO) electrode for improving the performance of inverted bulk-heterojunction organic thin film solar cells with 1 cm2 active area, where regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61 butyric acid methyl ester (PCBM) were mainly used as the photo-active layer. The uniform and thin TiOx film was easily prepared onto the ITO electrode ...

  6. ADielectric Multilayer Filter for Combining Photovoltaics with a Stirling Engine for Improvement of the Efficiency of Solar Electricity Generation

    Institute of Scientific and Technical Information of China (English)

    寿春晖; 骆仲泱; 王涛; 沈伟东; ROSENGARTEN Gary; 王诚; 倪明江; 岑可法

    2011-01-01

    In this Letter we outline a dielectric multilayer spectrally selective filter designed for solar energy applications. The optical performance of this 78-layer interference filter constructed by TiOx and SiO2 is presented. A hybrid system combining photovoltaic cells with a solar-powered Stirling engine using the designed filter is analyzed. The calculated results show the advantages of this spectrally selective method for solar power generation.%In this Letter we outline a dielectric multilayer spectrally selective filter designed for solar energy applications.The optical performance of this 78-layer interference filter constructed by TiOx and SiO2 is presented.A hybrid system combining photovoltaic cells with a solar-powered Stirling engine using the designed filter is analyzed.The calculated results show the advantages of this spectrally selective method for solar power generation.

  7. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  8. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  9. Effect of annealing temperature on structural and electrical properties of high-κ YbTixOy gate dielectrics for InGaZnO thin film transistors

    International Nuclear Information System (INIS)

    Pan, Tung-Ming; Chen, Fa-Hsyang; Hung, Meng-Ning

    2015-01-01

    This paper describes the effect of annealing temperature on the structural properties and electrical characteristics of high–κ YbTi x O y gate dielectrics for indium–gallium–zinc–oxide (IGZO) thin-film transistors (TFTs). X-ray diffraction, x-ray photoelectron spectroscopy and atomic force microscopy were used to study the structural, chemical and morphological features, respectively, of these dielectric films annealed at 200, 300 and 400 °C. The YbTi x O y IGZO TFT that had been annealed at 400 °C exhibited better electrical characteristics, such as a small threshold voltage of 0.53 V, a large field-effect mobility of 19.1 cm 2 V −1 s −1 , a high I on /I off ratio of 2.8 × 10 7 , and a low subthreshold swing of 176 mV dec. −1 , relative to those of the systems that had been subjected to other annealing conditions. This result suggests that YbTi x O y dielectric possesses a higher dielectric constant as well as lower oxygen vacancies (or defects) in the film. In addition, the instability of YbTi x O y IGZO TFT was studied under positive gate-bias stress and negative gate-bias stress conditions. (paper)

  10. Synthesis, fabrication and characterization of magnetic and dielectric nanoparticles and nanocomposite films

    Science.gov (United States)

    Liu, Xiaohua

    Materials science is an interdisciplinary field investigating the structure-property relationship in solid-state materials scientifically and technologically. Nanoscience is concerned with the distinctive properties that matter exhibits when confined to physical dimensions on the order of 10-9 meters. At these length scales, behaviors of particles or elaborate structures are often governed by the rules of quantum mechanics in addition to the physical properties associated with the bulk material. The work reported here seeks to employ nanocystals, binary nanocomposites and thin films of materials, to build versatile, functional systems and devices. With a focus on dielectric, ferroelectric, and magnetoelectric performance, a series of materials has been synthesized and different types of nanocomposites have been built. Barium strontium titannate particles at various sizes was developed, aiming at high dielectric constant and low loss at high frequency range. Cobalt ferrite-polymer nanocomposite was fabricated with potential magnetoelectric coupling. Along with synthesis, advanced electron microscopies (TEM, SEM, STEM, EELS) at atomic resolution were employed to thoroughly investigate the crystallinity, morphology and composition. By means of spin-coating and printing techniques, single and multiple layered capacitors featuring improved dielectric performance (high k, low loss, high breakdown voltage, etc.) were developed through a) electrode deposition, b) dielectric layer deposition, and c) parylene evaporation. Such capacitors are further incorporated into electric power converters for LED lighting. Hopefully in the future we can make electronic devices more efficient, sustainable, smaller and cheaper. By advancing our knowledge of nanomaterials, especially those with potential of multifunction, energy efficiency and sustainability, we have strived to push the limits of synthesis, characterization, fabrication and property analysis of nanostructures towards new

  11. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  12. Structural and magneto-dielectric property of (1-x)SBT-xLSMO nanocomposite thin films

    International Nuclear Information System (INIS)

    Maity, Sarmistha; Bhattacharya, D.; Dhar, A.; Ray, S.K.

    2009-01-01

    Full text: In recent years, interest in multiferroic materials has been increasing due to their potential applications. As single-phase multiferroic materials have very low room temperature magnetoelectric coefficient, recent studies have been concentrated on the possibility of attaining a coupling between the two order parameters by designing composites with magnetostrictive and piezoelectric phases via stress mediation. Composite thin films with homogenous matrix, composition spread with terminal layers being ferromagnetic and ferroelectric, layer-by-layer growth, superlattices, as well as epitaxial growth of ferromagnetic and ferroelectric layers on suitable substrates are been currently considered. In the present work, a nanostructured composite thin film of strontium bismuth tantalate (SBT) (ferroelectric layer) and lanthanum strontium manganese oxide (LSMO) (ferromagnetic layer) were fabricated using pulsed laser deposition. Phase separated multiferroic thin films with thickness varying from 50nm to 150nm were deposited from composite target (1-x)SBT-xLSMO with x=0.2, 0.5, 0.8. Grazing angle X-ray diffraction study combined with photo electron spectroscopy with depth profiling was carried out to study the phase separation. Interface quality of the thin film on silicon substrate was studied by Rutherford backscattering spectroscopy. Influence of film thickness and composition (x) on the electrical property of film was examined using impedance spectroscopy. The composite films exhibited ferroelectric as well as ferromagnetic characteristics at room temperature. A small kink in the dielectric spectra near the Neel temperature of LSMO confirmed the magneto-electric effect in the nanocomposite films

  13. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  14. Structural and dielectric studies of Co doped MgTiO3 thin films fabricated by RF magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Santhosh Kumar

    2014-06-01

    Full Text Available We report the structural, dielectric and leakage current properties of Co doped MgTiO3 thin films deposited on platinized silicon (Pt/TiO2/SiO2/Si substrates by RF magnetron sputtering. The role of oxygen mixing percentage (OMP on the growth, morphology, electrical and dielectric properties of the thin films has been investigated. A preferred orientation of grains along (110 direction has been observed with increasing the OMP. Such evolution of the textured growth is explained on the basis of the orientation factor analysis followed the Lotgering model. (Mg1-xCoxTiO3 (x = 0.05 thin films exhibits a maximum relative dielectric permittivity of ɛr = 12.20 and low loss (tan δ ∼ 1.2 × 10−3 over a wide range of frequencies for 75% OMP. The role of electric field frequency (f and OMP on the ac-conductivity of (Mg0.95Co0.05TiO3 have been studied. A progressive increase in the activation energy (Ea and relative permittivity ɛr values have been noticed up to 75% of OMP, beyond which the properties starts deteriorate. The I-V characteristics reveals that the leakage current density decreases from 9.93 × 10−9 to 1.14 × 10−9 A/cm2 for OMP 0% to 75%, respectively for an electric field strength of 250 kV/cm. Our experimental results reveal up to that OMP ≥ 50% the leakage current mechanism is driven by the ohmic conduction, below which it is dominated by the schottky emission.

  15. Ferroelectricity, Piezoelectricity, and Dielectricity of 0.06PMnN-0.94PZT(45/55 Thin Film on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Tao Zhang

    2015-01-01

    Full Text Available The high piezoelectricity and high quality factor ferroelectric thin films are important for electromechanical applications especially the micro electromechanical system (MEMS. The ternary compound ferroelectric thin films 0.06Pb(Mn1/3, Nb2/3O3 + 0.94Pb(Zr0.45, Ti0.55O3 (0.06PMnN-0.94PZT(45/55 were deposited on silicon(100 substrates by RF magnetron sputtering method considering that Mn and Nb doping will improve PZT properties in this research. For comparison, nondoped PZT(45/55 films were also deposited. The results show that both of thin films show polycrystal structures with the main (111 and (101 orientations. The transverse piezoelectric coefficients are e31,eff=−4.03 C/m2 and e31,eff=-3.5 C/m2, respectively. These thin films exhibit classical ferroelectricity, in which the coercive electric field intensities are 2Ec=147.31 kV/cm and 2Ec=135.44 kV/cm, and the saturation polarization Ps=30.86 μC/cm2 and Ps=17.74 μC/cm2, and the remnant polarization Pr=20.44 μC/cm2 and Pr=9.87 μC/cm2, respectively. Moreover, the dielectric constants and loss are εr=681 and D=5% and εr=537 and D=4.3%, respectively. In conclusion, 0.06PMnN-0.94PZT(45/55 thin films act better than nondoped films, even though their dielectric constants are higher. Their excellent ferroelectricity, piezoelectricity, and high power and energy storage property, especially the easy fabrication, integration realizable, and potentially high quality factor, make this kind of thin films available for the realistic applications.

  16. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  17. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  18. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  19. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  20. Brillouin light scattering studies of the mechanical properties of ultrathin low-k dielectric films

    Science.gov (United States)

    Link, A.; Sooryakumar, R.; Bandhu, R. S.; Antonelli, G. A.

    2006-07-01

    In an effort to reduce RC time delays that accompany decreasing feature sizes, low-k dielectric films are rapidly emerging as potential replacements for silicon dioxide (SiO2) at the interconnect level in integrated circuits. The main challenge in low-k materials is their substantially weaker mechanical properties that accompany the increasing pore volume content needed to reduce k. We show that Brillouin light scattering is an excellent nondestructive technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for a direct measure of the principal elastic constants that completely characterize the mechanical properties of these ultrathin films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. We further show that the values obtained by this method agree well with other experimental techniques such as nanoindentation and picosecond laser ultrasonics.

  1. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  2. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  3. Guided self-assembly of nanostructured titanium oxide

    Science.gov (United States)

    Wang, Baoxiang; Rozynek, Zbigniew; Fossum, Jon Otto; Knudsen, Kenneth D.; Yu, Yingda

    2012-02-01

    A series of nanostructured titanium oxide particles were synthesized by a simple wet chemical method and characterized by means of small-angle x-ray scattering (SAXS)/wide-angle x-ray scattering (WAXS), atomic force microscope (AFM), scanning electron microscope (SEM), transmission electron microscope (TEM), thermal analysis, and rheometry. Tetrabutyl titanate (TBT) and ethylene glycol (EG) can be combined to form either TiOx nanowires or smooth nanorods, and the molar ratio of TBT:EG determines which of these is obtained. Therefore, TiOx nanorods with a highly rough surface can be obtained by hydrolysis of TBT with the addition of cetyl-trimethyl-ammonium bromide (CTAB) as surfactant in an EG solution. Furthermore, TiOx nanorods with two sharp ends can be obtained by hydrolysis of TBT with the addition of salt (LiCl) in an EG solution. The AFM results show that the TiOx nanorods with rough surfaces are formed by the self-assembly of TiOx nanospheres. The electrorheological (ER) effect was investigated using a suspension of titanium oxide nanowires or nanorods dispersed in silicone oil. Oil suspensions of titanium oxide nanowires or nanorods exhibit a dramatic reorganization when submitted to a strong DC electric field and the particles aggregate to form chain-like structures along the direction of applied electric field. Two-dimensional SAXS images from chains of anisotropically shaped particles exhibit a marked asymmetry in the SAXS patterns, reflecting the preferential self-assembly of the particles in the field. The suspension of rough TiOx nanorods shows stronger ER properties than that of the other nanostructured TiOx particles. We find that the particle surface roughness plays an important role in modification of the dielectric properties and in the enhancement of the ER effect.

  4. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  5. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  6. Titanium Oxide/Platinum Catalysis: Charge Transfer from a Titanium Oxide Support Controls Activity and Selectivity in Methanol Oxidation on Platinum

    KAUST Repository

    Hervier, Antoine

    2011-11-24

    Platinum films of 1 nm thickness were deposited by electron beam evaporation onto 100 nm thick titanium oxide films (TiOx) with variable oxygen vacancy concentrations and fluorine (F) doping. Methanol oxidation on the platinum films produced formaldehyde, methyl formate, and carbon dioxide. F-doped samples demonstrated significantly higher activity for methanol oxidation when the TiOx was stoichiometric (TiO 2), but lower activity when it was nonstoichiometric (TiO 1.7 and TiO1.9). These results correlate with the chemical behavior of the same types of catalysts in CO oxidation. Fluorine doping of stoichiometric TiO2 also increased selectivity toward partial oxidation of methanol to formaldehyde and methyl formate, but had an opposite effect in the case of nonstoichiometric TiOx. Introduction of oxygen vacancies and fluorine doping both increased the conductivity of the TiO x film. For oxygen vacancies, this occurred by the formation of a conduction channel in the band gap, whereas in the case of fluorine doping, F acted as an n-type donor, forming a conduction channel at the bottom of the conduction band, about 0.5-1.0 eV higher in energy. The higher energy electrons in F-doped stoichiometric TiOx led to higher turnover rates and increased selectivity toward partial oxidation of methanol. This correlation between electronic structure and turnover rate and selectivity indicates that the ability of the support to transfer charges to surface species controls in part the activity and selectivity of the reaction. © 2011 American Chemical Society.

  7. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    Science.gov (United States)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  8. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH: Reactive ion etching and dielectric recovery

    Science.gov (United States)

    Myers, John N.; Zhang, Xiaoxian; Huang, Huai; Shobha, Hosadurga; Grill, Alfred; Chen, Zhan

    2017-05-01

    Molecular structures at the surface and buried interface of an amorphous ultralow-k pSiCOH dielectric film were quantitatively characterized before and after reactive ion etching (RIE) and subsequent dielectric repair using sum frequency generation (SFG) vibrational spectroscopy and Auger electron spectroscopy. SFG results indicated that RIE treatment of the pSiCOH film resulted in a depletion of ˜66% of the surface methyl groups and changed the orientation of surface methyl groups from ˜47° to ˜40°. After a dielectric recovery process that followed the RIE treatment, the surface molecular structure was dominated by methyl groups with an orientation of ˜55° and the methyl surface coverage at the repaired surface was 271% relative to the pristine surface. Auger depth profiling indicated that the RIE treatment altered the top ˜25 nm of the film and that the dielectric recovery treatment repaired the top ˜9 nm of the film. Both SFG and Auger profiling results indicated that the buried SiCNH/pSiCOH interface was not affected by the RIE or the dielectric recovery process. Beyond characterizing low-k materials, the developed methodology is general and can be used to distinguish and characterize different molecular structures and elemental compositions at the surface, in the bulk, and at the buried interface of many different polymer or organic thin films.

  9. Poly(vinylidene fluoride) Flexible Nanocomposite Films with Dopamine-Coated Giant Dielectric Ceramic Nanopowders, Ba(Fe0.5Ta0.5)O3, for High Energy-Storage Density at Low Electric Field.

    Science.gov (United States)

    Wang, Zhuo; Wang, Tian; Wang, Chun; Xiao, Yujia; Jing, Panpan; Cui, Yongfei; Pu, Yongping

    2017-08-30

    Ba(Fe 0.5 Ta 0.5 )O 3 /poly(vinylidene fluoride) (BFT/PVDF) flexible nanocomposite films are fabricated by tape casting using dopamine (DA)-modified BFT nanopowders and PVDF as a matrix polymer. After a surface modification of installing a DA layer with a thickness of 5 nm, the interfacial couple interaction between BFT and PVDF is enhanced, resulting in less hole defects at the interface. Then the dielectric constant (ε'), loss tangent (tan δ), and AC conductivity of nanocomposite films are reduced. Meanwhile, the value of the reduced dielectric constant (Δε') and the strength of interfacial polarization (k) are introduced to illustrate the effect of DA on the dielectric behavior of nanocomposite films. Δε' can be used to calculate the magnitude of interfacial polarization, and the strength of the dielectric constant contributed by the interface can be expressed as k. Most importantly, the energy-storage density and energy-storage efficiency of nanocomposite films with a small BFT@DA filler content of 1 vol % at a low electric field of 150 MV/m are enhanced by about 15% and 120%, respectively, after DA modification. The high energy-storage density of 1.81 J/cm 3 is obtained in the sample. This value is much larger than the reported polymer-based nanocomposite films. In addition, the outstanding cycle and bending stability of the nanocomposite films make it a promising candidate for future flexible portable energy devices.

  10. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  11. Effects of barrier composition and electroplating chemistry on adhesion and voiding in copper/dielectric diffusion barrier films

    Energy Technology Data Exchange (ETDEWEB)

    Birringer, Ryan P.; Dauskardt, Reinhold H. [Department of Materials Science and Engineering, Stanford University, Durand Building, Stanford, California 94305-4034 (United States); Shaviv, Roey [Novellus Systems Inc., 4000 North First Street, San Jose, California 95134 (United States); Geiss, Roy H.; Read, David T. [National Institute of Standards and Technology, 325 Broadway, Boulder, Colorado 80305 (United States)

    2011-08-15

    The effects of electroplating chemistry and dielectric diffusion barrier composition on copper voiding and barrier adhesion are reported. Adhesion was quantified using the four-point bend thin film adhesion technique, and voiding in the Cu films was quantified using scanning electron microscopy. A total of 12 different film stacks were investigated, including three different Cu electroplating chemistries and four different barrier materials (SiN, N-doped SiC, O-doped SiC, and dual-layer SiC). Both plating chemistry and barrier composition have a large effect on interface adhesion and voiding in the Cu film. X-ray photoelectron spectroscopy was used to investigate the segregation of Cu electroplating impurities, such as S and Cl, to the Cu/barrier interface. Secondary ion mass spectrometry was used to quantify oxygen content at the Cu/barrier interface in a subset of samples. This interface oxygen content is correlated with measured adhesion values.

  12. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  13. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  14. The effects of strain relaxation on the dielectric properties of epitaxial ferroelectric Pb(Zr0.2Ti0.8)TiO3 thin films

    Science.gov (United States)

    Khan, Asif Islam; Yu, Pu; Trassin, Morgan; Lee, Michelle J.; You, Long; Salahuddin, Sayeef

    2014-07-01

    We study the effects of strain relaxation on the dielectric properties of epitaxial 40 nm Pb(Zr0.2Ti0.8)TiO3 (PZT) films. A significant increase in the defect and dislocation density due to strain relaxation is observed in PZT films with tetragonality c/a fatigue in ferroelectric materials.

  15. Chemical-mechanical polishing of metal and dielectric films for microelectronic applications

    Science.gov (United States)

    Hegde, Sharath

    The demand for smaller, faster devices has led the integrated circuit (IC) industry to continually increase the device density on a chip while simultaneously reducing feature dimensions. Copper interconnects and multilevel metallization (MLM) schemes were introduced to meet some of these challenges. With the employment of MLM in the ultra-large-scale-integrated (ULSI) circuit fabrication technology, repeated planarization of different surface layers with tolerance of a few nanometers is required. Presently, chemical-mechanical planarization (CMP) is the only technique that can meet this requirement. Damascene and shallow trench isolation processes are currently used in conjunction with CMP in the fabrication of multilevel copper interconnects and isolation of devices, respectively, for advanced logic and memory devices. These processes, at some stage, require simultaneous polishing of two different materials using a single slurry that offers high polish rates, high polish selectivity to one material over the other and good post-polish surface finish. Slurries containing one kind of abrasive particles do not meet most of these demands due mainly to the unique physical and chemical properties of each abrasive. However, if a composite particle is formed that takes the advantages of different abrasives while mitigating their disadvantages, the CMP performance of resulting abrasives would be compelling. It is demonstrated that electrostatic interactions between ceria and silica particles at pH 4 can be used to produce composite particles with enhanced functionality. Zeta potential measurement and TEM images used for particle characterization show the presence of such composite particles with smaller shell particles attached onto larger core particles. Slurries containing ceria (core)/silica (shell) and silica (core)/ceria (shell) composite particles when used to polish metal and dielectric films, respectively, yield both enhanced metal and dielectric film removal rates

  16. Electron-beam-induced conduction in dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Acris, F C; Davies, P M; Lewis, T J [University Coll. of North Wales, Bangor (UK). School of Electronic Engineering Science

    1976-03-14

    A model for the enhanced conduction induced in dielectric films under electron bombardment while electrically stressed is discussed. It is assumed that the beam produces a virtual electrode at the end of its range in the dielectric and, as a consequence, the induced conduction is shown to depend on the properties of that part of the dielectric beyond the range of the beam. This model has also been discussed recently by Nunes de Oliviera and Gross. In the present treatment, it is shown how the model permits investigation of beam scattering and carrier generation and recombination processes. Experiments on electron-bombardment-induced conduction of thin (72 to 360 nm) films of anodic tantalum oxide are reported and it is shown that the theoretical model provides a very satisfactory explanation of all features of the results including the apparent threshold energy for enhanced conduction.

  17. Moderate temperature-dependent surface and volume resistivity and low-frequency dielectric constant measurements of pure and multi-walled carbon nanotube (MWCNT) doped polyvinyl alcohol thin films

    Science.gov (United States)

    Edwards, Matthew; Guggilla, Padmaja; Reedy, Angela; Ijaz, Quratulann; Janen, Afef; Uba, Samuel; Curley, Michael

    2017-08-01

    Previously, we have reported measurements of temperature-dependent surface resistivity of pure and multi-walled carbon nanotube (MWNCT) doped amorphous Polyvinyl Alcohol (PVA) thin films. In the temperature range from 22 °C to 40 °C with humidity-controlled environment, we found the surface resistivity to decrease initially, but to rise steadily as the temperature continued to increase. Moreover, electric surface current density (Js) was measured on the surface of pure and MWCNT doped PVA thin films. In this regard, the surface current density and electric field relationship follow Ohm's law at low electric fields. Unlike Ohmic conduction in metals where free electrons exist, selected captive electrons are freed or provided from impurities and dopants to become conduction electrons from increased thermal vibration of constituent atoms in amorphous thin films. Additionally, a mechanism exists that seemingly decreases the surface resistivity at higher temperatures, suggesting a blocking effect for conducting electrons. Volume resistivity measurements also follow Ohm's law at low voltages (low electric fields), and they continue to decrease as temperatures increase in this temperature range, differing from surface resistivity behavior. Moreover, we report measurements of dielectric constant and dielectric loss as a function of temperature and frequency. Both the dielectric constant and dielectric loss were observed to be highest for MWCNT doped PVA compared to pure PVA and commercial paper, and with frequency and temperature for all samples.

  18. Structural and electrical characteristics of high-κ ErTixOy gate dielectrics on InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Li, Wei-Chen; Matsuda, Yasuhiro H.; Pan, Tung-Ming

    2013-01-01

    In this paper, we investigated the structural properties and electrical characteristics of high-κ ErTi x O y gate dielectrics on indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). We used X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to investigate the structural and morphological features of these dielectric films after they had been subjected to annealing at various temperatures. The high-κ ErTi x O y IGZO TFT device annealed at 400 °C exhibited better electrical characteristics in terms of a large field-effect mobility (8.24 cm 2 /V-s), low threshold voltage (0.36 V), small subthreshold swing (130 mV/dec), and high I on/off ratio(3.73 × 10 6 ). These results are attributed to the reduction of the trap states and oxygen vacancies between the ErTi x O y film and IGZO active layer interface during high-temperature annealing in oxygen ambient. The reliability of voltage stress also can be improved by the oxygen annealing at 400 °C. - Highlights: • ErTi x O y InGaZnO thin-film transistors (TFT). • Structural and electrical properties of the TFT were investigated. • TFT device annealed at 400 °C exhibited better electrical characteristics. • Reliability of TFT device can be improved by annealing at 400 °C

  19. Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K.; Lee, W. J.; Shin, B. C.; Cho, C. R.

    2014-01-01

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al 2 O 3 dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al 2 O 3 and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al 2 O 3 gate dielectric exhibits a very low leakage current density of 1.3 x 10 -8 A/cm 2 at 5 V and a high capacitance density of 60.9 nF/cm 2 . The IGZO TFT with a structure of Ni/IGZO/Al 2 O 3 /Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm 2 V -1 s -1 , an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10 7 .

  20. Post Curing as an Effective Means of Ensuring the Long-term Reliability of PDMS Thin Films for Dielectric Elastomer Applications

    DEFF Research Database (Denmark)

    Zakaria, Shamsul Bin; Madsen, Frederikke Bahrt; Skov, Anne Ladegaard

    2017-01-01

    ’s moduli at 5% strain increase with post curing. Furthermore, the determined dielectric breakdown parameters from Weibull analyses showed that greater electrical stability and reliability could be achieved by post curing the PDMS films before usage, and this method therefore paves a way toward more...

  1. Phase sensitive molecular dynamics of self-assembly glycolipid thin films: A dielectric spectroscopy investigation

    Science.gov (United States)

    Velayutham, T. S.; Ng, B. K.; Gan, W. C.; Majid, W. H. Abd.; Hashim, R.; Zahid, N. I.; Chaiprapa, Jitrin

    2014-08-01

    Glycolipid, found commonly in membranes, is also a liquid crystal material which can self-assemble without the presence of a solvent. Here, the dielectric and conductivity properties of three synthetic glycolipid thin films in different thermotropic liquid crystal phases were investigated over a frequency and temperature range of (10-2-106 Hz) and (303-463 K), respectively. The observed relaxation processes distinguish between the different phases (smectic A, columnar/hexagonal, and bicontinuous cubic Q) and the glycolipid molecular structures. Large dielectric responses were observed in the columnar and bicontinuous cubic phases of the longer branched alkyl chain glycolipids. Glycolipids with the shortest branched alkyl chain experience the most restricted self-assembly dynamic process over the broad temperature range studied compared to the longer ones. A high frequency dielectric absorption (Process I) was observed in all samples. This is related to the dynamics of the hydrogen bond network from the sugar group. An additional low-frequency mechanism (Process II) with a large dielectric strength was observed due to the internal dynamics of the self-assembly organization. Phase sensitive domain heterogeneity in the bicontinuous cubic phase was related to the diffusion of charge carriers. The microscopic features of charge hopping were modelled using the random walk scheme, and two charge carrier hopping lengths were estimated for two glycolipid systems. For Process I, the hopping length is comparable to the hydrogen bond and is related to the dynamics of the hydrogen bond network. Additionally, that for Process II is comparable to the bilayer spacing, hence confirming that this low-frequency mechanism is associated with the internal dynamics within the phase.

  2. Dielectric properties of BaMg1/3Nb2/3O3 doped Ba0.45Sr0.55Tio3 thin films for tunable microwave applications

    Science.gov (United States)

    Alema, Fikadu; Pokhodnya, Konstantin

    2015-11-01

    Ba(Mg1/3Nb2/3)O3 (BMN) doped and undoped Ba0.45Sr0.55TiO3 (BST) thin films were deposited via radio frequency magnetron sputtering on Pt/TiO2/SiO2/Al2O3 substrates. The surface morphology and chemical state analyses of the films have shown that the BMN doped BST film has a smoother surface with reduced oxygen vacancy, resulting in an improved insulating properties of the BST film. Dielectric tunability, loss, and leakage current (LC) of the undoped and BMN doped BST thin films were studied. The BMN dopant has remarkably reduced the dielectric loss (˜38%) with no significant effect on the tunability of the BST film, leading to an increase in figure of merit (FOM). This is attributed to the opposing behavior of large Mg2+ whose detrimental effect on tunability is partially compensated by small Nb5+ as the two substitute Ti4+ in the BST. The coupling between MgTi″ and VO•• charged defects suppresses the dielectric loss in the film by cutting electrons from hopping between Ti ions. The LC of the films was investigated in the temperature range of 300-450K. A reduced LC measured for the BMN doped BST film was correlated to the formation of defect dipoles from MgTi″, VO•• and NbTi• charged defects. The carrier transport properties of the films were analyzed in light of Schottky thermionic emission (SE) and Poole-Frenkel (PF) emission mechanisms. The result indicated that while the carrier transport mechanism in the undoped film is interface limited (SE), the conduction in the BMN doped film was dominated by bulk processes (PF). The change of the conduction mechanism from SE to PF as a result of BMN doping is attributed to the presence of uncoupled NbTi• sitting as a positive trap center at the shallow donor level of the BST.

  3. Origin of switching current transients in TIPS-pentacene based organic thin-film transistor with polymer dielectric

    Science.gov (United States)

    Singh, Subhash; Mohapatra, Y. N.

    2017-06-01

    We have investigated switch-on drain-source current transients in fully solution-processed thin film transistors based on 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) using cross-linked poly-4-vinylphenol as a dielectric. We show that the nature of the transient (increasing or decreasing) depends on both the temperature and the amplitude of the switching pulse at the gate. The isothermal transients are analyzed spectroscopically in a time domain to extract the degree of non-exponentiality and its possible origin in trap kinetics. We propose a phenomenological model in which the exchange of electrons between interfacial ions and traps controls the nature of the drain current transients dictated by the Fermi level position. The origin of interfacial ions is attributed to the essential fabrication step of UV-ozone treatment of the dielectric prior to semiconductor deposition.

  4. Surface Modification of Solution-Processed ZrO2 Films through Double Coating for Pentacene Thin-Film Transistors

    Science.gov (United States)

    Kwon, Jin-Hyuk; Bae, Jin-Hyuk; Lee, Hyeonju; Park, Jaehoon

    2018-03-01

    We report the modification of surface properties of solution-processed zirconium oxide (ZrO2) dielectric films achieved by using double-coating process. It is proven that the surface properties of the ZrO2 film are modified through the double-coating process; the surface roughness decreases and the surface energy increases. The present surface modification of the ZrO2 film contributes to an increase in grain size of the pentacene film, thereby increasing the field-effect mobility and decreasing the threshold voltage of the pentacene thin-film transistors (TFTs) having the ZrO2 gate dielectric. Herein, the molecular orientation of pentacene film is also studied based on the results of contact angle and X-ray diffraction measurements. Pentacene molecules on the double-coated ZrO2 film are found to be more tilted than those on the single-coated ZrO2 film, which is attributed to the surface modification of the ZrO2 film. However, no significant differences are observed in insulating properties between the single-and the double-coated ZrO2 dielectric films. Consequently, the characteristic improvements of the pentacene TFTs with the double-coated ZrO2 gate dielectric film can be understood through the increase in pentacene grain size and the reduction in grain boundary density.

  5. A study of the microstructure and optical properties of thin lead-dielectric cermet films. Ph.D. Thesis - Va. Polytechnic Inst. and State Univ.

    Science.gov (United States)

    Owen, R. B.

    1972-01-01

    A transmission electron microscopy study involving direct and replicating techniques is directed to a definition of the microstructure of radio frequency-sputtered, thin lead-dielectric cermet films. Once defined, this microstructure is used to obtain theoretical film refractive indices. The Maxwell Garnett theory provides a basis for the theoretical results. Measurements of film transmission and reflectivity are used to obtain rough experimental values for film refractive indices by the Tekucheva method. More exact values are obtained via ellipsometry. The rough Tekucheva values are used to determine the range over which computer calculations interpreting the ellipsometric results must be made. This technique yields accurate values for the film refractive indices.

  6. Electroactive Phase Induced Bi4Ti3O12-Poly(Vinylidene Difluoride) Composites with Improved Dielectric Properties

    Science.gov (United States)

    Bhardwaj, Sumit; Paul, Joginder; Chand, Subhash; Raina, K. K.; Kumar, Ravi

    2015-10-01

    Lead-free ceramic-polymer composite films containing Bi4Ti3O12 (BIT) nanocrystals as the active phase and poly(vinylidene difluoride) as the passive matrix were synthesized by spin coating. The films' structural, morphological, and dielectric properties were systemically investigated by varying the weight fraction of BIT. Formation of electroactive β and γ phases were strongly affected by the presence of BIT nanocrystals. Analysis was performed by Fourier-transform infrared and Raman spectroscopy. Morphological studies confirmed the homogeneous dispersion of BIT particles within the polymer matrix. The composite films had dielectric constants as high as 52.8 and low dielectric loss of 0.1 at 100 Hz when the BIT content was 10 wt.%. We suggest that the enhanced electroactive phase content of the polymer matrix and interfacial polarization may contribute to the improved dielectric performance of these composite films. Dielectric modulus analysis was performed to enable understanding of the dielectric relaxation process. Non-Debye-type relaxation behavior was observed for the composite films at high temperature.

  7. Properties of reactively radio frequency-magnetron sputtered (Zr,Sn)TiO4 dielectric films

    International Nuclear Information System (INIS)

    Huang, C.-L.; Hsu, C.-H.

    2004-01-01

    Zirconium tin titanium oxide doped 1 wt % ZnO thin films on n-type Si substrate were deposited by rf magnetron sputtering at a fixed rf power of 350 W with various argon-oxygen (Ar/O 2 ) mixture and different substrate temperatures. Electrical properties and microstructures of ZnO-doped (Zr 0.8 Sn 0.2 )TiO 4 thin films prepared by rf magnetron sputtering on n-type Si(100) substrates at different Ar/O 2 ratios and substrate temperatures have been investigated. The surface structural and morphological characteristics analyzed by x-ray diffraction, scanning electron microscopy, and atomic force microscope were sensitive to the deposition conditions, such as Ar/O 2 ratio (100/0-80/20) and substrate temperature (350 deg. C-450 deg. C). The selected-area diffraction pattern showed that the deposited films exhibited a polycrystalline microstructure. All films exhibited ZST (111) orientation perpendicular to the substrate surface and the grain size as well as the deposition rate of the films increased with the increase of both the Ar partial pressure and the substrate temperature. At a Ar/O 2 ratio of 100/0, rf power level of 350 W and substrate temperature of 450 deg. C, the Zr 0.8 Sn 0.2 TiO 4 films with 6.44 μm thickness possess a dielectric constant of 42 (at 10 MHz), a dissipation factor of 0.065 (at 10 MHz), and a leakage current density of 2x10 -7 A/cm 2 at an electrical field of 1 kV/cm

  8. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  9. Transferred metal electrode films for large-area electronic devices

    International Nuclear Information System (INIS)

    Yang, Jin-Guo; Kam, Fong-Yu; Chua, Lay-Lay

    2014-01-01

    The evaporation of metal-film gate electrodes for top-gate organic field-effect transistors (OFETs) limits the minimum thickness of the polymer gate dielectric to typically more than 300 nm due to deep hot metal atom penetration and damage of the dielectric. We show here that the self-release layer transfer method recently developed for high-quality graphene transfer is also capable of giving high-quality metal thin-film transfers to produce high-performance capacitors and OFETs with superior dielectric breakdown strength even for ultrathin polymer dielectric films. Dielectric breakdown strengths up to 5–6 MV cm −1 have been obtained for 50-nm thin films of polystyrene and a cyclic olefin copolymer TOPAS ® (Zeon). High-quality OFETs with sub-10 V operational voltages have been obtained this way using conventional polymer dielectrics and a high-mobility polymer semiconductor poly[2,5-bis(3-tetradecylthiophene-2-yl)thieno[3,2-b]thiophene-2,5-diyl]. The transferred metal films can make reliable contacts without damaging ultrathin polymer films, self-assembled monolayers and graphene, which is not otherwise possible from evaporated or sputtered metal films

  10. Electroactive and High Dielectric Folic Acid/PVDF Composite Film Rooted Simplistic Organic Photovoltaic Self-Charging Energy Storage Cell with Superior Energy Density and Storage Capability.

    Science.gov (United States)

    Roy, Swagata; Thakur, Pradip; Hoque, Nur Amin; Bagchi, Biswajoy; Sepay, Nayim; Khatun, Farha; Kool, Arpan; Das, Sukhen

    2017-07-19

    Herein we report a simplistic prototype approach to develop an organic photovoltaic self-charging energy storage cell (OPSESC) rooted with biopolymer folic acid (FA) modified high dielectric and electroactive β crystal enriched poly(vinylidene fluoride) (PVDF) composite (PFA) thin film. Comprehensive and exhaustive characterizations of the synthesized PFA composite films validate the proper formation of β-polymorphs in PVDF. Significant improvements of both β-phase crystallization (F(β) ≈ 71.4%) and dielectric constant (ε ≈ 218 at 20 Hz for PFA of 7.5 mass %) are the twosome realizations of our current study. Enhancement of β-phase nucleation in the composites can be thought as a contribution of the strong interaction of the FA particles with the PVDF chains. Maxwell-Wagner-Sillars (MWS) interfacial polarization approves the establishment of thermally stable high dielectric values measured over a wide temperature spectrum. The optimized high dielectric and electroactive films are further employed as an active energy storage material in designing our device named as OPSESC. Self-charging under visible light irradiation without an external biasing electrical field and simultaneous remarkable self-storage of photogenerated electrical energy are the two foremost aptitudes and the spotlight of our present investigation. Our as fabricated device delivers an impressively high energy density of 7.84 mWh/g and an excellent specific capacitance of 61 F/g which is superior relative to the other photon induced two electrode organic self-charging energy storage devices reported so far. Our device also proves the realistic utility with good recycling capability by facilitating commercially available light emitting diode.

  11. Improved polymer nanocomposite dielectric breakdown performance through barium titanate to epoxy interface control

    Energy Technology Data Exchange (ETDEWEB)

    Siddabattuni, Sasidhar [Missouri University of Science and Technology (formerly the University of Missouri-Rolla), Chemistry Department, 400W. 11th Street, Rolla, MO 65409 (United States); Schuman, Thomas P., E-mail: tschuman@mst.edu [Missouri University of Science and Technology (formerly the University of Missouri-Rolla), Chemistry Department, 400W. 11th Street, Rolla, MO 65409 (United States); Dogan, Fatih [Missouri University of Science and Technology, Materials Science and Engineering Department, 1400N. Bishop Avenue, Rolla, MO 65409 (United States)

    2011-11-15

    Highlights: > A covalent filler-matrix interface improves the dielectric properties of a polymer-particle nanocomposite dielectric. > A covalent interface reduced the polymer free volume around the nanoparticles as assessed through T{sub g} measurements. > Composite T{sub g} was raised and breakdown strength improved for nanocomposites with a covalent polymer-particle interface. > A larger Maxwell-Wagner (MW) relaxation correlated with reduced breakdown strengths and energy storage densities. > The MW relaxation could be considered a dielectric defect regarding breakdown strength and energy storage density. - Abstract: A composite approach to dielectric design has the potential to provide improved permittivity as well as high breakdown strength and thus afford greater electrical energy storage density. Interfacial coupling is an effective approach to improve the polymer-particle composite dielectric film resistance to charge flow and dielectric breakdown. A bi-functional interfacial coupling agent added to the inorganic oxide particles' surface assists dispersion into the thermosetting epoxy polymer matrix and upon composite cure reacts covalently with the polymer matrix. The composite then retains the glass transition temperature of pure polymer, provides a reduced Maxwell-Wagner relaxation of the polymer-particle composite, and attains a reduced sensitivity to dielectric breakdown compared to particle epoxy composites that lack interfacial coupling between the composite filler and polymer matrix. Besides an improved permittivity, the breakdown strength and thus energy density of a covalent interface nanoparticle barium titanate in epoxy composite dielectric film, at a 5 vol.% particle concentration, was significantly improved compared to a pure polymer dielectric film. The interfacially bonded, dielectric composite film had a permittivity {approx}6.3 and at a 30 {mu}m thickness achieved a calculated energy density of 4.6 J/cm{sup 3}.

  12. Improved polymer nanocomposite dielectric breakdown performance through barium titanate to epoxy interface control

    International Nuclear Information System (INIS)

    Siddabattuni, Sasidhar; Schuman, Thomas P.; Dogan, Fatih

    2011-01-01

    Highlights: → A covalent filler-matrix interface improves the dielectric properties of a polymer-particle nanocomposite dielectric. → A covalent interface reduced the polymer free volume around the nanoparticles as assessed through T g measurements. → Composite T g was raised and breakdown strength improved for nanocomposites with a covalent polymer-particle interface. → A larger Maxwell-Wagner (MW) relaxation correlated with reduced breakdown strengths and energy storage densities. → The MW relaxation could be considered a dielectric defect regarding breakdown strength and energy storage density. - Abstract: A composite approach to dielectric design has the potential to provide improved permittivity as well as high breakdown strength and thus afford greater electrical energy storage density. Interfacial coupling is an effective approach to improve the polymer-particle composite dielectric film resistance to charge flow and dielectric breakdown. A bi-functional interfacial coupling agent added to the inorganic oxide particles' surface assists dispersion into the thermosetting epoxy polymer matrix and upon composite cure reacts covalently with the polymer matrix. The composite then retains the glass transition temperature of pure polymer, provides a reduced Maxwell-Wagner relaxation of the polymer-particle composite, and attains a reduced sensitivity to dielectric breakdown compared to particle epoxy composites that lack interfacial coupling between the composite filler and polymer matrix. Besides an improved permittivity, the breakdown strength and thus energy density of a covalent interface nanoparticle barium titanate in epoxy composite dielectric film, at a 5 vol.% particle concentration, was significantly improved compared to a pure polymer dielectric film. The interfacially bonded, dielectric composite film had a permittivity ∼6.3 and at a 30 μm thickness achieved a calculated energy density of 4.6 J/cm 3 .

  13. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    Science.gov (United States)

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  14. High-strain actuator materials based on dielectric elastomers

    DEFF Research Database (Denmark)

    Pelrine, R.; Kornbluh, R.; Kofod, G.

    2000-01-01

    Dielectric elastomers are a new class of actuator materials that exhibit excellent performance. The principle of operation, as well as methods to fabricate and test these elastomers, is summarized here. The Figure is a sketch of an elastomer film (light gray) stretched on a frame (black) and patt......Dielectric elastomers are a new class of actuator materials that exhibit excellent performance. The principle of operation, as well as methods to fabricate and test these elastomers, is summarized here. The Figure is a sketch of an elastomer film (light gray) stretched on a frame (black...

  15. Spectral, structural, optical and dielectrical studies of UV irradiated Rose Bengal thin films prepared by spin coating technique

    Energy Technology Data Exchange (ETDEWEB)

    Zeyada, H.M., E-mail: hzeyada@gmail.com [Department of Physics, Faculty of Science at New Damietta, University of Damietta, 34517 (Egypt); Youssif, M.I.; El-Ghamaz, N.A. [Department of Physics, Faculty of Science at New Damietta, University of Damietta, 34517 (Egypt); Aboderbala, M.E.O. [Department of Physics, Faculty of Science at New Damietta, University of Damietta, 34517 (Egypt); Department of Physics, Faculty of Science, AlJabl Al Gharbi University (Libya)

    2017-02-01

    Optical properties of pristine and UV irradiated Rose Bengal (RB) films have been investigated using transmittance and reflectance methods. The refractive index(n) and extinction coefficient (k) have been calculated from the absolute values of transmission and reflection spectrum. Single oscillator parameters and Drude model of free carrier absorption have been applied for analysis of the refractive index dispersion. Within the frame work of the band-to-band electron transitions theory; the fundamental absorption edge data were analyzed. Our results suggest that thickness of RB films has no effect on the absorption or the refractive indices in the investigated thicknesses range and within the experimental error. Structural transformation of films from amorphous to polycrystalline has been observed upon UV irradiation. Accordingly, the decreases of all of the absorption coefficient, the energy gap and the refractive index of RB films have been detected. Furthermore, the dependence of the optical functions on UV exposure times has been discussed based on the spectral distribution of the dielectric constant.

  16. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    International Nuclear Information System (INIS)

    Abdel-Aziz, M.M.; Azim, Osama A.; Abdel-Wahab, L.A.; Seddik, Mohamed M.

    2006-01-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2 O 3 ), Hafnium dioxide (HfO 2 ), and Lima (mixture of oxides SiO 2 /Al 2 O 3 ); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A

  17. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    Science.gov (United States)

    Abdel-Aziz, M. M.; Azim, Osama A.; Abdel-Wahab, L. A.; Seddik, Mohamed M.

    2006-10-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2O 3), Hafnium dioxide (HfO 2), and Lima (mixture of oxides SiO 2/Al 2O 3); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A.

  18. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  19. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  20. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  1. PREFACE: Dielectrics 2009: Measurement Analysis and Applications

    Science.gov (United States)

    Vaughan, Alun; Williams, Graham

    2009-07-01

    2001 the Annual Meetings focused on numerous topics, including relaxation and conduction processes in liquids, solids, liquid crystals, synthetic polymers and biopolymers, piezoelectric materials, electrets and ferroelectrets, interfacial phenomena, high field conduction and breakdown phenomena in solids, liquids and gases and, importantly, the remarkable developments in dielectric instrumentation during this period. These activities reflected the need, and willingness, to move dielectrics researches with the times. As examples of the variety and diversity of these meetings we may refer briefly to the 1981, 1989 and 1996 Meetings. The 1981 Oxford Meeting on High Field Phenomena in Dielectrics included strong themes on fundamental and practical effects of high E-fields on the dielectric and conduction behaviour of liquids and solids, electrical treeing and dielectric breakdown, non-linear dielectric effects, electrets, thin-film devices and electro-rheology. The late 1980's had seen large initiatives in the UK and globally in the general area of Molecular Electronics so, in timely fashion, this was the subject of the 1989 Meeting in Bangor. The 1996 Smart Dielectrics Meeting at Canterbury reported subsequent advances in designer materials having electro-responsive and electro-optical properties. The programme concerned electro- and photo-active materials, mainly organic, in the form of polar dielectrics, polyelectrolytes, organic semi- and photo-conductors, photo-refractive polymer films, organic ferroelectric films, liquid crystalline polymer films, piezo- and pyro-electric polymer films, electroluminescent polymers, electro-rheological fluids and non-linear optical polymer films as described by leading international scientists. The physico-chemical functions of the materials were demonstrated and interpreted in terms of fundamental molecular properties. An Archive, containing full details of all the Meetings of the DDG and the Dielectrics Society, has been placed on

  2. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    Science.gov (United States)

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  3. Dielectric enhancement of PbZr{sub 0.3}Ti{sub 0.7}O{sub 3}/LaNiO{sub 3} multilayer thick film

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Yasong; Chen, Xiaoyang; Habibul, Arzigul; Zhang, Danyang; Yu, Ping [College of Materials Science and Engineering, Sichuan University, Chengdu, 610064 (China)

    2016-08-15

    Multilayer thick films (∝4 μm) with compositional PbZr{sub 0.3}Ti{sub 0.7}O{sub 3}/LaNiO{sub 3} layers and one-layer PZT thick films were prepared on the silicon substrate by radio-frequency magnetron sputtering. PbZr{sub 0.3}Ti{sub 0.7}O{sub 3}/LaNiO{sub 3} multilayer thick film are characterized by highly preferential (100)-oriented growth and columnar microstructure due to alternately introducing LaNiO{sub 3} seeding layers. The effects of LaNiO{sub 3} layers on microstructure and electrical properties of PbZr{sub 0.3}Ti{sub 0.7}O{sub 3} thick films were investigated in detail. The results show that both PZT and PbZr{sub 0.3}Ti{sub 0.7}O{sub 3}/LaNiO{sub 3} multilayer thick film were pure perovskite crystalline phase. The PbZr{sub 0.3}Ti{sub 0.7}O{sub 3} film texture was dense and well adhered on the LaNiO{sub 3} layer. PbZr{sub 0.3}Ti{sub 0.7}O{sub 3}/LaNiO{sub 3} multilayer thick film possessed obvious enhanced dielectric properties compared with PZT thick film: ε{sub r} ∝2450 (10 kHz) and tanδ ∝0.02 (10 kHz). Rayleigh law was used to analysis the behavior of the enhanced dielectric properties and the pinched-shaped polarization-electric field hysteresis loops. The larger Rayleigh parameter, α ∝51.1408 cm kV{sup -1} (1 kHz) indicates the larger extrinsic contribution to permittivity and strong domain-wall-defect charge interaction. The leakage current behaviors of the multilayer thick film were also investigated in detail. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Thermal, mechanical and dielectric properties of poly(vinyl alcohol)/graphene oxide composites

    Science.gov (United States)

    Rathod, Sunil G.; Bhajantri, R. F.; Ravindrachary, V.; Pujari, P. K.; Sheela, T.; Naik, Jagadish

    2014-04-01

    In this work the composite films of poly(vinyl alcohol) (PVA) doped with functionalized Graphene Oxide (GO) were prepared by solution casting method. The films were characterized using FT-IR, DSC, XRD, mechanical properties and dielectric studies at room temperature. FTIR spectra shows the formation of hydrogen bonds between hydroxyl groups of PVA and the hydroxy groups of GO. The DSC thermograms shows the addition of GO to PVA greatly improves the thermal stability of the composites. XRD patterns shows that the GO exfoliated and uniformly dispersed in PVA matrix. Mechanical properties are significantly improved in PVA/GO composites. The tensile strength increased from 8.2 to 13.7 MPa and the Young's modulus increased from 7.5 to 24.8 MPa for 5 wt% GO doped sample. Dielectric spectroscopy showed a highest dielectric constant for the 5 wt% GO doped PVA films. This work provides a potential design strategy on PVA/GO composite, which would lead to higher-performance, flexible dielectric materials, high charge-storage devices.

  5. Nonlinear dielectric response in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    Lente, M. H.

    2004-08-01

    Full Text Available Electrical permittivity dependence on electric external bias field was investigated in PZT thin films. The results revealed the existence of two mechanisms contributing to the electrical permittivity. The first one was related to the domain reorientation, which was responsible for a strong no linear dielectric behavior, acting only during the poling process. The second mechanism was associated with the domain wall vibrations, which presented a reasonable linear electrical behavior with the applied bias field, contributing always to the permittivity independently of the poling state of the sample. The results also indicated that the gradual reduction of the permittivity with the increase of the bias field strength may be related to the gradual bending of the domain walls. It is believed that the domain wall bending induces a hardening and/or a thinning of the walls, thus reducing the electrical permittivity. A reinterpretation of the model proposed in the literature to explain the dielectric characteristics of ferroelectric materials at high electric field regime is proposed.

    Se ha estudiado la dependencia de la permitividad eléctrica con un campo bias externo en láminas delgadas de PZT. Los resultados revelaron la existencia de dos mecanismos que contribuyen a la permitividad eléctrica. El primero está relacionado con la reorientación de dominios, actúa sólo durante el proceso de polarización y es responsable de un comportamiento dieléctrico fuertemente no lineal. El segundo mecanismo se asocia a las vibraciones de las paredes de dominio, presentando un comportamiento eléctrico razonablemente lineal con el campo bias aplicado, contribuyendo siempre a la permitividad independientemente del estado de polarización de la muestra. Los resultados indicaron también que la reducción gradual de la permitividad con el aumento de la fuerza del campo bias podría estar relacionada con el “bending” gradual de las paredes de dominio

  6. Low operating voltage InGaZnO thin-film transistors based on Al{sub 2}O{sub 3} high-k dielectrics fabricated using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K. [Qingdao University, Qingdao (China); DongEui University, Busan (Korea, Republic of); Lee, W. J.; Shin, B. C. [DongEui University, Busan (Korea, Republic of); Cho, C. R. [Pusan National University, Busan (Korea, Republic of)

    2014-05-15

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al{sub 2}O{sub 3} dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al{sub 2}O{sub 3} and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al{sub 2}O{sub 3} gate dielectric exhibits a very low leakage current density of 1.3 x 10{sup -8} A/cm{sup 2} at 5 V and a high capacitance density of 60.9 nF/cm{sup 2}. The IGZO TFT with a structure of Ni/IGZO/Al{sub 2}O{sub 3}/Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm{sup 2}V{sup -1}s{sup -1}, an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10{sup 7}.

  7. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  8. AC/DC electrical conduction and dielectric properties of PMMA/PVAc/C60 down-shifting nanocomposite films

    Science.gov (United States)

    El-Bashir, S. M.; Alwadai, N. M.; AlZayed, N.

    2018-02-01

    Polymer nanocomposite films were prepared by doping fullerene C60 in polymer blend composed of polymethacrylate/polyvinyl acetate blends (PMMA/PVAc) using solution cast technique. The films were characterized by differential scanning calorimeter (DSC), Transmission electron microscope (TEM), DC/AC electrical conductivity and dielectric measurements in the frequency range (100 Hz- 1 MHz). The glass transition temperature, Tg, was increased by increasing the concentration of fullerene C60; this property reflects the increase of thermal stability by increasing the nanofiller content. The DC and AC electrical conductivities were enhanced by increasing C60 concentration due to the electron hopping or tunneling between filled and empty localized states above Tg. The relaxation time was determined from the αβ -relaxations and found to be attenuated by increasing the temperature as a typical behavior of amorphous polymers. The calculated values of thermodynamic parameters revealed the increase of molecular stability by increasing the doping concentration; this feature supports the application of PMMA/PVAc/C60 nanocomposite films in a wide scale of solar energy conversion applications such as luminescent down-shifting (LDS) coatings for photovoltaic cells.

  9. Infrared and THz spectroscopy of nanostructured dielectrics

    Directory of Open Access Journals (Sweden)

    Jan Petzelt

    2009-09-01

    Full Text Available Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized.

  10. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  11. Dielectric properties of polymer-particle nanocomposites influenced by electronic nature of filler surfaces.

    Science.gov (United States)

    Siddabattuni, Sasidhar; Schuman, Thomas P; Dogan, Fatih

    2013-03-01

    The interface between the polymer and the particle has a critical role in altering the properties of a composite dielectric. Polymer-ceramic nanocomposites are promising dielectric materials for many electronic and power devices, combining the high dielectric constant of ceramic particles with the high dielectric breakdown strength of a polymer. Self-assembled monolayers of electron rich or electron poor organophosphate coupling groups were applied to affect the filler-polymer interface and investigate the role of this interface on composite behavior. The interface has potential to influence dielectric properties, in particular the leakage and breakdown resistance. The composite films synthesized from the modified filler particles dispersed into an epoxy polymer matrix were analyzed by dielectric spectroscopy, breakdown strength, and leakage current measurements. The data indicate that significant reduction in leakage currents and dielectric losses and improvement in dielectric breakdown strengths resulted when electropositive phenyl, electron-withdrawing functional groups were located at the polymer-particle interface. At a 30 vol % particle concentration, dielectric composite films yielded a maximum energy density of ~8 J·cm(-3) for TiO2-epoxy nanocomposites and ~9.5 J·cm(-3) for BaTiO3-epoxy nanocomposites.

  12. Investigation of the correlation between dielectric function, thickness and morphology of nano-granular ZnO very thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gilliot, Mickaël, E-mail: mickael.gilliot@univ-reims.fr [Laboratoire d' Ingénierie et Sciences des Matériaux, Université de Reims Champagne-Ardenne (France); Hadjadj, Aomar [Laboratoire d' Ingénierie et Sciences des Matériaux, Université de Reims Champagne-Ardenne (France); Martin, Jérôme [Laboratoire de Nanotechnologie et d' Instrumentation Optique, Université de Technologie de Troyes (France)

    2015-12-31

    Thin nano-granular ZnO layers were prepared using a sol–gel synthesis and spin-coating deposition process with a thickness ranging between 20 and 120 nm. The complex dielectric function (ϵ) of the ZnO film was determined from spectroscopic ellipsometry measurements. Up to a critical thickness close to 60 nm, the magnitude of both the real and the imaginary parts of ϵ rapidly increases and then slowly tends to values closer to the bulk ZnO material. This trend suggests a drastic change in the film porosity at both sides of this critical thickness, due to the pre-heating and post-crystallization processes, as confirmed by additional characterization of the structure and the morphology of the ZnO films. - Highlights: • c-Axis oriented ZnO thin films were grown with different morphological states. • The morphology and structures are controlled by controlling the thickness. • The optical properties are correlated to morphological evolution. • Two growth behaviors and property evolutions are identified around a critical thickness.

  13. Structural and dielectric properties of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown by PLD

    Energy Technology Data Exchange (ETDEWEB)

    James, K. K.; Satish, B.; Jayaraj, M. K. [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala (India)

    2014-01-28

    Ferroelectric thin films of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) were deposited on Si/SiO{sub 2}/TiO{sub 2}/Pt (PtSi) substrate by pulsed laser deposition (PLD). Crystalline films with perovskite structure were obtained without post-deposition annealing. Phase purity of the deposited films was confirmed by x-ray diffraction. The lowest value of FWHM obtained for the film deposited at oxygen pressure 5.4×10{sup −4} mbar and substrate temperature 600°C, indicates the high crystallinity of the film. The room temperature dielectric constant at 100 kHz was 85. Butterfly loop, which is the characteristic of ferroelectric materials, was obtained in the regime of −4 to +4V. The leakage current density was nearly 9×10{sup −13} Acm{sup −2}.

  14. Enhanced polarization and dielectric properties of Pb(Zr1-xTix)O3 thin films

    Science.gov (United States)

    Ortega, N.; Kumar, Ashok; Katiyar, R. S.

    2008-10-01

    We report the fabrication of PbZr0.57Ti0.43O3 (PZT) thin films with preferential growth along (111) and random crystalline orientation on the platinized silicon substrates using pulsed laser deposition technique. X-ray diffraction patterns and surface morphology indicate increase in grain size and nucleation, which support better perovskite matrix with increase in annealing temperature. We observed large dielectric constant (˜4000) and enhanced remanent polarization 70 μC/cm2 at room temperature attributed to grain growth and intermetallic Pt-Pb transient phase. Frequency dependent polarization showed minor reduction in polarization above 10 kHz frequencies. Normalized fatigue characteristic of PZT thin films showed minimal 25% degradation in remanent polarization after 109 cycles, which may be useful for memory devices. ac conductivity spectra illustrated that anomaly near the phase transition temperature with activation energy (Ea˜0.60-0.75 eV) supports the intrinsic nature of ferroelectric phase transition.

  15. Dielectric properties of Li doped Li-Nb-O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Perentzis, G.; Horopanitis, E.E.; Papadimitriou, L. [Aristotle University of Thessaloniki, Department of Physics, 54124 Thessaloniki (Greece); Durman, V.; Saly, V.; Packa, J. [Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 81219 Bratislava (Slovakia)

    2007-03-15

    Lithium niobate LiNbO{sub 3} was prepared as a thin film layered structure deposited on stainless steel substrate using e-gun evaporation. The Li doping was provided for by the formation of Li-Nb-O/Li/LiNb-O sandwich structure and annealing at about 250 C. AC impedance spectroscopy measurements were performed on the samples at temperatures from the interval between 28 and 165 C and in a frequency range of 10{sup -3} to 10{sup 6} Hz. Using the values Z' and Z'' at different frequencies, the dielectric parameters - parts of the complex permittivity {epsilon}' and {epsilon}'' and loss tangent tan {delta} were calculated. The results prove validity of the proposed equivalent circuit containing parallel RC elements connected in series where the first RC element represents the bulk of material and the second RC element belongs to the double layer at the metal interface. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Action of colloidal silica films on different nano-composites

    Directory of Open Access Journals (Sweden)

    S. Abdalla

    Full Text Available Nano-composite films have been the subject of extensive work to develop the energy-storage efficiency of electrostatic capacitors. Factors such as polymer purity, nano-particles size, and film morphology drastically affect the electrostatic efficiency of the dielectric material that form an insulating film between conductive electrodes of a capacitor. This in turn affects the energy storage performance of the capacitor. In the present work, we have studied the dielectric properties of 4 high pure amorphous polymer films: polymethylmethacrylate (PMMA, polystyrene, polyimide and poly-4-vinylpyridine. Comparison between the dielectric properties of these polymers has revealed that the higher break down performance is a character of polyimide PI and PMMA. Also, our experimental data shows that adding colloidal silica to PMMA and PI leads to a net decrease in the dielectric properties compared to the pure polymer. Keywords: Dielectric break down, Polymers, Nano-composite, Colloidal silica

  17. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    Science.gov (United States)

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  18. Effects of Polymeric Dielectric Morphology on Pentacene Morphology and Organic TFT Characteristics

    Directory of Open Access Journals (Sweden)

    Ye Rongbin

    2016-01-01

    Full Text Available In this paper, we report on the effects of the polymeric dielectric morphology on pentacene morphology and organic thin film transistor (TFT characteristics. The morphology and thickness of cyclo-olefin polymer (COP dielectric could be controlled by selecting a solvent. Higher the solvent’s boiling point is, thinner and smother COP films could be obtained. Using the solvent of trimethylcyclohexane, the spin-coated COP films of ca. 330 nm with the peak-to-valley of 7.35 nm and the roughness of root mean square of 0.58 nm were obtained, and pentacene TFT showed high mobility of 2.0 cm2V-1s-1, which originated from highly ordering of pentacene thin films deposited on the smoother and thinner COP films.

  19. Electrophoretically applied dielectrics for amorphous metal foils used in pulsed power saturable reactors

    International Nuclear Information System (INIS)

    Sharp, D.J.; Harjes, H.C.; Mann, G.A.

    1989-01-01

    Amorphous metal foil-wound inductors have been tested as ferromagnetic saturable inductive elements for pulsed-power (multi-terawatt) switching modules in the inertial confinement fusion program at Sandia National Laboratories. In simulated capacitor testing premature dielectric breakdown of thin polyethylene terephthalate film insulation in the inductor windings occurs at considerably below 2500 V. This appears to be due to inadvertant dielectric damage from micro-spikes on the amorphous foil surface. Electron micrographs and dielectric breakdown data illustrate that electrophoretically-applied dielectric coatings, deposited from organic aqueous colloid dispersions, can be used to provide insulating coatings on the foil which provide a 240% improvement (6000 V) in the breakdown strength of wound amorphous foil inductors. The theory and operation of a dedicated electrophoretic continuous coating system is described. The machine was constructed and successfully applied for dielectric coating of amorphous metal foil. Additional possible applications exist for practical dielectric coating of metallic films or foils used in various commercial wound-type capacitor structures. 7 refs., 9 figs

  20. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    Science.gov (United States)

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  1. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    Science.gov (United States)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  2. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  3. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  4. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    International Nuclear Information System (INIS)

    Ramesh, S.; Chai, M.F.

    2007-01-01

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt in the polymer electrolyte complexes

  5. Dielectric relaxation and ac conductivity behaviour of polyvinyl alcohol–HgSe quantum dot hybrid films

    International Nuclear Information System (INIS)

    Sinha, Subhojyoti; Chatterjee, Sanat Kumar; Meikap, Ajit Kumar; Ghosh, Jiten

    2014-01-01

    Here we report a comparative study on the dielectric relaxation and ac conductivity behaviour of pure polyvinyl alcohol (PVA) and PVA–mercury selenide (HgSe) quantum dot hybrid films in the temperature range 298 K ⩽ T ⩽ 420 K and in the frequency range 100 Hz ⩽ f ⩽ 1 MHz. The prepared nanocomposite exhibits a larger dielectric constant as compared to the pure PVA. The real and imaginary parts of the dielectric constants were found to fit appreciably with the modified Cole–Cole equation, from which temperature-dependent values of the relaxation times, free charge carrier conductivity and space charge carrier conductivity were calculated. The relaxation time decreases with the quantum dot's inclusion in the PVA matrix and with an increase in temperature, whereas free charge carrier conductivity and space charge carrier conductivity increases with an increase in temperature. An increase in ac conductivity for the nanocomposites has also been observed, while the charge transport mechanism was found to follow the correlated barrier hopping model in both cases. An easy-path model with a suitable electrical equivalent circuit has been employed to analyse the temperature-dependent impedance spectra. The imaginary part of the complex electric modulus spectra exhibit an asymmetric nature and a non-Debye type of behaviour, which has been elucidated considering a generalized susceptibility function. The electric modulus spectra of the nanocomposite demonstrate a smaller amplitude and broader width, as compared to the pure PVA sample. (paper)

  6. Solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a polymer dielectric on a flexible substrate

    International Nuclear Information System (INIS)

    Shin, Sang-Il; Kwon, Jae-Hong; Ju, Byeong-Kwon; Kang, Hochul

    2008-01-01

    The authors report the fabrication of solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a cross-linked poly-4-vinylphenol (PVP) dielectric on a polyethersulphone (PES) substrate. The device exhibited useful electrical characteristics, including a saturation field effect mobility of 2.08 × 10 −2 cm 2 V −1 s −1 , a current on/off ratio of 10 5 , a threshold voltage of −2 V and an excellent subthreshold slope of 0.86 V/dec. It was demonstrated that the significant improvement in the subthreshold slope of TIPS-pentacene TFTs could be attributed to a decreased carrier trap density at the PVP/TIPS-pentacene film interface. Furthermore, a 1,2,3,4-tetrahydronaphthalene (Tetralin) solvent used in this study had a high boiling point, which had a positive effect on the morphology and the molecular ordering of the TIPS-pentacene film

  7. Improved Dielectric Properties of Polyvinylidene Fluoride Nanocomposite Embedded with Poly(vinylpyrrolidone)-Coated Gold Nanoparticles

    KAUST Repository

    Toor, Anju

    2017-01-25

    A novel nanocomposite dielectric was developed by embedding polyvinylpyrrolidone (PVP)-encapsulated gold (Au) nanoparticles in the polyvinylidene fluoride (PVDF) polymer matrix. The surface functionalization of Au nanoparticles with PVP facilitates favorable interaction between the particle and polymer phase, enhancing nanoparticle dispersion. To study the effect of entropic interactions on particle dispersion, nanocomposites with two different particle sizes (5 and 20 nm in diameter) were synthesized and characterized. A uniform particle distribution was observed for nanocomposite films consisting of 5 nm Au particles, in contrast to the film with 20 nm particles. The frequency-dependent dielectric permittivity and the loss tangent were studied for the nanocomposite films. These results showed the effectiveness of PVP ligand in controlling the agglomeration of Au particles in the PVDF matrix. Moreover, the study showed the effect of particle concentration on their spatial distribution in the polymer matrix and the dielectric properties of nanocomposite films.

  8. Improved Dielectric Properties of Polyvinylidene Fluoride Nanocomposite Embedded with Poly(vinylpyrrolidone)-Coated Gold Nanoparticles

    KAUST Repository

    Toor, Anju; So, Hongyun; Pisano, Albert P.

    2017-01-01

    A novel nanocomposite dielectric was developed by embedding polyvinylpyrrolidone (PVP)-encapsulated gold (Au) nanoparticles in the polyvinylidene fluoride (PVDF) polymer matrix. The surface functionalization of Au nanoparticles with PVP facilitates favorable interaction between the particle and polymer phase, enhancing nanoparticle dispersion. To study the effect of entropic interactions on particle dispersion, nanocomposites with two different particle sizes (5 and 20 nm in diameter) were synthesized and characterized. A uniform particle distribution was observed for nanocomposite films consisting of 5 nm Au particles, in contrast to the film with 20 nm particles. The frequency-dependent dielectric permittivity and the loss tangent were studied for the nanocomposite films. These results showed the effectiveness of PVP ligand in controlling the agglomeration of Au particles in the PVDF matrix. Moreover, the study showed the effect of particle concentration on their spatial distribution in the polymer matrix and the dielectric properties of nanocomposite films.

  9. Wet chemical preparation of YVO{sub 4}:Eu thin films as red-emitting phosphor layers for fully transparent flat dielectric discharge lamp

    Energy Technology Data Exchange (ETDEWEB)

    Klausch, A. [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany); Althues, H. [Fraunhofer Institute for Material and Beam Technology Winterbergstr. 28, 01309 Dresden (Germany); Freudenberg, T. [Leibniz Institute for Solid State and Materials Research, Helmholtzstrasse 20, 01069 Dresden (Germany); Kaskel, S., E-mail: Stefan.Kaskel@chemie.tu-dresden.de [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany)

    2012-04-30

    Highly transparent YVO{sub 4}:Eu thin films were deposited via dip coating of liquid nanoparticle dispersions on glass substrates. Annealing of the nanoparticle layers resulted in restructuring of the material into oriented crystalline films. The crystallinity was confirmed using powder X-ray diffraction. Film thickness was adjusted to 467 nm by multiple deposition. The resulting coatings show > 99% absorbance for wavelength below 300 nm and > 90% transmission in the visible spectral range. Under UV-light excitation a bright red photoluminescence with a quantum efficiency of 20% is observed. A planar, transparent dielectric barrier discharge lamp was constructed using YVO{sub 4}:Eu coated glasses and transparent electrodes made from antimony-doped tin dioxide thin films. - Highlights: Black-Right-Pointing-Pointer Preparation of highly transparent Eu{sup 3+} doped YVO{sub 4} phosphor thin films. Black-Right-Pointing-Pointer Improved crystallinity and optical properties through heat treatment. Black-Right-Pointing-Pointer Red emitting films on glass substrates were combined with antimony tin oxide thin films. Black-Right-Pointing-Pointer Fully transparent, planar gas discharge lamp as prototype for a light emitting window.

  10. Low-temperature conductivity of tunnel-coupled quantum dots system in YBaCuO and LaSrMnO dielectric films

    CERN Document Server

    Okunev, V D; Isaev, V A; Dyachenko, A T; Klimov, A; Lewandowski, S J

    2002-01-01

    Paper contains new experimental data concerning investigation into the nature of rho(T) approx = const conductivity segments at T < T sub c for YBaCuO and LaSrMnO dielectric films prepared by means of laser deposition and containing nanocrystalline clusters with metallic conductivity. In YBaCuO epitaxial films with a tetragonal structure rho = rho(T) approx = const (T sub c = 10 K) dependences are observed following the effect of (KrF) excimer laser emission on the specimens, while in LaSrMnO amorphous films (T sub c approx = 160 K) - immediately after they are prepared. rho(T) approx = const effect manifests itself if in the optical spectra of specimens there are regions of absorption by free charge carriers and is associated with a tunnel conductivity of a system of quantum points

  11. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  12. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  13. Magnetic force induced tristability for dielectric elastomer actuators

    Science.gov (United States)

    Li, Xin-Qiang; Li, Wen-Bo; Zhang, Wen-Ming; Zou, Hong-Xiang; Peng, Zhi-Ke; Meng, Guang

    2017-10-01

    This paper presents a novel dielectric elastomer actuator (DEA) with three stable states. By introducing magnetic forces and coupling them with two cone dielectric elastomer (DE) films, an inherent tristability for the DEA is obtained with a compact design. It is easy to switch between the three stable states by controlling the voltages applied to the DE films. A theoretical model of the system’s potential energy that contains the free energy of the DEs and the potential energy of the applied magnetic field was developed for the tristable mechanism. The experimental results demonstrate that controllable transitions between the three stable states can be achieved with this design by applying over-critical voltages to the various DE films. The maximum dynamic range of the DEA can exceed 53.8% of the total length of the device and the DE’s creep speed was accelerated under the action of the magnetic field.

  14. Formation and dielectric properties of polyelectrolyte multilayers studied by a silicon-on-insulator based thin film resistor.

    Science.gov (United States)

    Neff, Petra A; Wunderlich, Bernhard K; Klitzing, Regine V; Bausch, Andreas R

    2007-03-27

    The formation of polyelectrolyte multilayers (PEMs) is investigated using a silicon-on-insulator based thin film resistor which is sensitive to variations of the surface potential. The buildup of the PEMs at the silicon oxide surface of the device can be observed in real time as defined potential shifts. The influence of polymer charge density is studied using the strong polyanion poly(styrene sulfonate), PSS, combined with the statistical copolymer poly(diallyl-dimethyl-ammoniumchloride-stat-N-methyl-N-vinylacetamide), P(DADMAC-stat-NMVA), at various degrees of charge (DC). The multilayer formation stops after a few deposition steps for a DC below 75%. We show that the threshold of surface charge compensation corresponds to the threshold of multilayer formation. However, no reversion of the preceding surface charge was observed. Screening of polyelectrolyte charges by mobile ions within the polymer film leads to a decrease of the potential shifts with the number of layers deposited. This decrease is much slower for PEMs consisting of P(DADMAC-stat-NMVA) and PSS as compared to PEMs consisting of poly(allylamine-hydrochloride), PAH, and PSS. From this, significant differences in the dielectric constants of the polyelectrolyte films and in the concentration of mobile ions within the films can be derived.

  15. Mechanistic interaction study of thin oxide dielectric with conducting organic electrode

    International Nuclear Information System (INIS)

    Sharma, Himani; Sethi, Kanika; Raj, P. Markondeya; Gerhardt, R.A.; Tummala, Rao

    2012-01-01

    Highlights: ► Thin film-oxide dielectric-organic electrode interface studies for investigating the leakage mechanism. ► XPS to elucidate chemical-structural changes on dielectric oxide surface. ► Correlates structural characterization data with capacitor leakage current and impedance spectroscopy characteristics. - Abstract: This paper aims at understanding the interaction of intrinsic conducting polymer, PEDT, with ALD-deposited Al 2 O 3 and thermally oxidized Ta 2 O 5 dielectrics, and the underlying mechanisms for increase in leakage currents in PEDT-based capacitors. Conducting polymers offer several advantages as electrodes for high surface area capacitors because of their lower resistance, self-healing and enhanced conformality. However, capacitors with in situ polymerized PEDT show poor electrical properties that are attributed to the interfacial interaction between the organic electrode and the oxide dielectric. This study focuses on characterizing these interactions. A combination of compositional, structural and electrical characterization techniques was applied to polymer-solid-state-capacitor to understand the interfacial chemical behavior and dielectric property deterioration of alumina and tantalum-oxide films. XPS and impedance studies were employed to understand the stiochiometric and compositional changes that occur in the dielectric film on interaction with in situ deposited PEDT. Based on the observations from several complimentary techniques, it is concluded that tantalum-pentoxide has more resistance towards chemical interaction with in situ polymerized PEDT. The thermally oxidized Ta 2 O 5 -PEDT system showed leakage current of 280 nA μF −1 at 3 V with a breakdown voltage of 30 V. On the other hand, Al 2 O 3 -PEDT capacitor showed leakage current of 50 μA μF −1 and a breakdown voltage of 40 V. The study reports direct evidence for the mechanism of resistivity drop in alumina dielectric with in situ polymerized PEDT electrode.

  16. THIN FILMS OF A NEW ORGANIC SINGLE-COMPONENT FERROELECTRIC 2-METHYLBENZIMIDAZOLE

    Directory of Open Access Journals (Sweden)

    E. V. Balashova

    2016-09-01

    Full Text Available Subject of Research.We present results of structural and dielectric study of organic ferroelectric 2-methylbenzimidazole (MBI thin films. Method. The films have been grown on substrates of leuco-sapphire, fused and crystalline silica, neodymium gallate, bismuth germanate, gold, aluminium, platinum. The films have been grown by two different methods: substrate covering by ethanol solution of MBI and subsequent ethanol evaporation; sublimation at the temperature near 375 K under atmospheric pressure. Crystallographic orientation studies have been performed by means of «DRON-3» X-ray diffractometer, block structure of the films has been determined by «LaboPol-3» polarizing microscope. Small-signal dielectric response has been received with the use of «MIT 9216A» digital LCR-meter, while strong-signal dielectric response has been studied by Sawyer-Tower circuit. Main Resuts. We have shown that the films obtained by evaporation are continuous and textured. Obtained film structure depends on the concentration of the solution. Films may consist of blocks that are splitted crystals like spherulite. Spontaneous polarization components in such films may be directed both perpendicularly and in the film plane. We have also obtained structures consisting of single-crystal blocks with spontaneous polarization components being allocated in the film plane. Block sizes vary from a few to hundreds of microns. Films obtained by sublimation are amorphous or dendritic. The dielectric properties of the films obtained by evaporation have been studied. We have shown that the dielectric constant and dielectric loss tangent increase under heating. The dielectric hysteresis loops are observed at the temperature equal to 291-379 K. The remnant polarization increases with temperature for constant amplitude of the external electric field, and achieves 4.5mC/cm2, while the coercive field remains constant. We propose that such behavior is explained by increase of the

  17. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  18. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  19. Evaluation of high temperature capacitor dielectrics

    Science.gov (United States)

    Hammoud, Ahmad N.; Myers, Ira T.

    1992-01-01

    Experiments were carried out to evaluate four candidate materials for high temperature capacitor dielectric applications. The materials investigated were polybenzimidazole polymer and three aramid papers: Voltex 450, Nomex 410, and Nomex M 418, an aramid paper containing 50 percent mica. The samples were heat treated for six hours at 60 C and the direct current and 60 Hz alternating current breakdown voltages of both dry and impregnated samples were obtained in a temperature range of 20 to 250 C. The samples were also characterized in terms of their dielectric constant, dielectric loss, and conductivity over this temperature range with an electrical stress of 60 Hz, 50 V/mil present. Additional measurements are underway to determine the volume resistivity, thermal shrinkage, and weight loss of the materials. Preliminary data indicate that the heat treatment of the films slightly improves the dielectric properties with no influence on their breakdown behavior. Impregnation of the samples leads to significant increases in both alternating and direct current breakdown strength. The results are discussed and conclusions made concerning their suitability as high temperature capacitor dielectrics.

  20. Parameterization of the dielectric function of semiconductor nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Petrik, P., E-mail: petrik@mfa.kfki.hu

    2014-11-15

    Optical methods like spectroscopic ellipsometry are sensitive to the structural properties of semiconductor films such as crystallinity or grain size. The imaginary part of the dielectric function is proportional to the joint density of electronic states. Consequently, the analysis of the dielectric function around the critical point energies provides useful information about the electron band structure and all related parameters like the grain structure, band gap, temperature, composition, phase structure, and carrier mobility. In this work an attempt is made to present a selection of the approaches to parameterize and analyze the dielectric function of semiconductors, as well as some applications.

  1. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    OpenAIRE

    Roeckerath, M.; Lopes, J. M. J.; Durgun Özben, E.; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D.G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of < 1 nA/cm(2). Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated ...

  2. Investigation of the dielectric function of solution-processed InGaZnO films using ellipsometry.

    Science.gov (United States)

    Kim, Tae Jung; Yoon, Jae Jin; Hwang, Soo Min; Choi, Jun Hyuk; Hwang, Soon Yong; Ghong, Tae Ho; Barange, Nilesh; Kim, Jun Young; Kim, Young Dong; Joo, Jinho

    2012-07-01

    The optical properties of InGaZnO (IGZO) films grown through the sol-gel process as a function of sintering time were investigated with spectroscopic ellipsometry (SE). The IGZO precursor sol was prepared by mixing In nitrate, Ga nitrate, and Zn acetate at a molar ratio of In:Ga:Zn = 3:1:1. The solution was deposited on a SiO2/Si substrate via spin coating. Sintering was performed at 400 degrees C for 1-15 h in an ambient atmosphere. The optical properties were measured over the range 1.12-6.52 eV via variable angle SE, at room temperature. The angle of incidence was varied from 50 to 70 degrees in 5 degree steps. To extract the pure optical properties of IGZO, multilayer-structure calculation with Tauc-Lorentz dispersion relation for IGZO was performed. The changes in the dielectric function of the IGZO films with varying sintering time were observed. The resultant optical properties can be related to the concentration of oxygen vacancies in the material, which can be controlled by the sintering time.

  3. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    Energy Technology Data Exchange (ETDEWEB)

    Ramesh, S. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)]. E-mail: ramesh@mail.utar.edu.my; Chai, M.F. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)

    2007-05-15

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt in the polymer electrolyte complexes.

  4. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Zahran, H.Y. [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Yahia, I.S., E-mail: dr_isyahia@yahoo.com [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Alamri, F.H. [Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia)

    2017-05-15

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV–vis–NIR spectrophotometer in the wavelength range 350–2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300–2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV–vis regions and it is suitable for nonlinear optical applications. - Highlights: • Pyronin Y (PY) nanostructured thin films were deposited by using spin coating technique. • XRD/AFM were used to study the structure of PY films. • The optical band gap was calculated on the basis of Tauc's model. • Linear/nonlinear optical parameters are calculated and interpreted via the applied optical theories. • PY thin films is a new organic semiconductor for its application in optoelectronic devices.

  5. Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation

    Energy Technology Data Exchange (ETDEWEB)

    Marsik, Premysl, E-mail: marsik@physics.muni.c [UFKL, Masaryk University, Kotlarska 2, 61137 Brno (Czech Republic); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Verdonck, Patrick [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); De Roest, David [ASM Belgium, Kapeldreef 75, 3001 Leuven (Belgium); Baklanov, Mikhail R. [IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2010-05-31

    The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2 eV to 9 eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation ({lambda} = 172 nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity.

  6. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  7. Direct Effect of Dielectric Surface Energy on Carrier Transport in Organic Field-Effect Transistors.

    Science.gov (United States)

    Zhou, Shujun; Tang, Qingxin; Tian, Hongkun; Zhao, Xiaoli; Tong, Yanhong; Barlow, Stephen; Marder, Seth R; Liu, Yichun

    2018-05-09

    The understanding of the characteristics of gate dielectric that leads to optimized carrier transport remains controversial, and the conventional studies applied organic semiconductor thin films, which introduces the effect of dielectric on the growth of the deposited semiconductor thin films and hence only can explore the indirect effects. Here, we introduce pregrown organic single crystals to eliminate the indirect effect (semiconductor growth) in the conventional studies and to undertake an investigation of the direct effect of dielectric on carrier transport. It is shown that the matching of the polar and dispersive components of surface energy between semiconductor and dielectric is favorable for higher mobility. This new empirical finding may show the direct relationship between dielectric and carrier transport for the optimized mobility of organic field-effect transistors and hence show a promising potential for the development of next-generation high-performance organic electronic devices.

  8. Ferroelectric dielectrics integrated on silicon

    CERN Document Server

    Defay, Emmanuel

    2013-01-01

    This book describes up-to-date technology applied to high-K materials for More Than Moore applications, i.e. microsystems applied to microelectronics core technologies.After detailing the basic thermodynamic theory applied to high-K dielectrics thin films including extrinsic effects, this book emphasizes the specificity of thin films. Deposition and patterning technologies are then presented. A whole chapter is dedicated to the major role played in the field by X-Ray Diffraction characterization, and other characterization techniques are also described such as Radio frequency characterizat

  9. Alignment of Boron Nitride Nanofibers in Epoxy Composite Films for Thermal Conductivity and Dielectric Breakdown Strength Improvement.

    Science.gov (United States)

    Wang, Zhengdong; Liu, Jingya; Cheng, Yonghong; Chen, Siyu; Yang, Mengmeng; Huang, Jialiang; Wang, Hongkang; Wu, Guanglei; Wu, Hongjing

    2018-04-15

    Development of polymer-based composites with simultaneously high thermal conductivity and breakdown strength has attracted considerable attention owing to their important applications in both electronic and electric industries. In this work, boron nitride (BN) nanofibers (BNNF) are successfully prepared as fillers, which are used for epoxy composites. In addition, the BNNF in epoxy composites are aligned by using a film casting method. The composites show enhanced thermal conductivity and dielectric breakdown strength. For instance, after doping with BNNF of 2 wt%, the thermal conductivity of composites increased by 36.4% in comparison with that of the epoxy matrix. Meanwhile, the breakdown strength of the composite with 1 wt% BNNF is 122.9 kV/mm, which increased by 6.8% more than that of neat epoxy (115.1 kV/mm). Moreover, the composites have maintained a low dielectric constant and alternating current conductivity among the range of full frequency, and show a higher thermal decomposition temperature and glass-transition temperature. The composites with aligning BNNF have wide application prospects in electronic packaging material and printed circuit boards.

  10. Enhancing performance of a linear dielectric based concentrating photovoltaic system using a reflective film along the edge

    International Nuclear Information System (INIS)

    Baig, Hasan; Sarmah, Nabin; Chemisana, Daniel; Rosell, Joan; Mallick, Tapas K.

    2014-01-01

    In the present study, we model and analyse the performance of a dielectric based linear concentrating photovoltaic system using ray tracing and finite element methods. The results obtained are compared with the experiments. The system under study is a linear asymmetric CPC (Compound Parabolic Concentrator) designed to operate under extreme incident angles of 0° and 55° and have a geometrical concentration ratio of 2.8×. Initial experiments showed a maximum PR (power ratio) of 2.2 compared to a non concentrating counterpart. An improvement to this has been proposed and verified by adding a reflective film along the edges of the concentrator to capture the escaping rays and minimise optical losses. The addition of the reflective film changes the incoming distribution on the solar cell. Results show an increase of 16% in the average power output while using this reflective film. On including the thermal effects it was found that the overall benefit changes to about 6% while using a reflective film. Additionally, the effects of the non-uniformity of the incoming radiation are also analysed and reported for both the cases. It is found that adding the reflective film drops the maximum power at the output by only 0.5% due to the effect of non-uniformity. - Highlights: • Optical, thermal and electrical analysis of a concentrating photovoltaic system. • Improvement in performance by use of reflective film along the edge. • Experimental validation of results. • Effects of non-uniform illumination on the performance of the CPV system. • Impact of temperature profile on the overall performance

  11. Mechanical and dielectric properties of carbon nanotubes/poly (vinyl alcohol) nanocomposites

    Science.gov (United States)

    Amrin, Sayed; Deshpande, V. D.

    2016-05-01

    In this work, two series of nanocomposites of poly(vinyl alcohol) (PVA) incorporated with multiwalled carbon nanotubes (MWNT) and carboxyl functionalized multiwalled carbon nanotubes (MWNT-COOH) were fabricated using solution-cast method and their tensile and dielectric properties were studied. Tensile tests were carried out on composite films of MWNT/PVA and MWNT-COOH/PVA for different loading levels. Results show that overall mechanical properties of the MWNT-COOH/PVA composite was greatly improved as compared to the MWNT/PVA film. The dielectric properties of nanocomposites were investigated in a frequency range from 0.1Hz to 10MHz at room temperature respectively. Compared to MWNT/PVA composites, higher dielectric constant and ac conductivity was achieved in MWNT-COOH/PVA nanocomposite, which can be well explained by the interfacial polarization effect.

  12. Kinetically controlled glass transition measurement of organic aerosol thin films using broadband dielectric spectroscopy

    Directory of Open Access Journals (Sweden)

    Y. Zhang

    2018-06-01

    Full Text Available Glass transitions from liquid to semi-solid and solid phase states have important implications for reactivity, growth, and cloud-forming (cloud condensation nuclei and ice nucleation capabilities of secondary organic aerosols (SOAs. The small size and relatively low mass concentration of SOAs in the atmosphere make it difficult to measure atmospheric SOA glass transitions using conventional methods. To circumvent these difficulties, we have adapted a new technique for measuring glass-forming properties of atmospherically relevant organic aerosols. Aerosol particles to be studied are deposited in the form of a thin film onto an interdigitated electrode (IDE using electrostatic precipitation. Dielectric spectroscopy provides dipole relaxation rates for organic aerosols as a function of temperature (373 to 233 K that are used to calculate the glass transition temperatures for several cooling or heating rates. IDE-enabled broadband dielectric spectroscopy (BDS was successfully used to measure the kinetically controlled glass transition temperatures of aerosols consisting of glycerol and four other compounds with selected cooling and heating rates. The glass transition results agree well with available literature data for these five compounds. The results indicate that the IDE-BDS method can provide accurate glass transition data for organic aerosols under atmospheric conditions. The BDS data obtained with the IDE-BDS technique can be used to characterize glass transitions for both simulated and ambient organic aerosols and to model their climate effects.

  13. Synthesis of flat sticky hydrophobic carbon diamond-like films using atmospheric pressure Ar/CH4 dielectric barrier discharge

    Science.gov (United States)

    Rincón, R.; Hendaoui, A.; de Matos, J.; Chaker, M.

    2016-06-01

    An Ar/CH4 atmospheric pressure dielectric barrier discharge (AP-DBD) was used to synthesize sticky hydrophobic diamond-like carbon (DLC) films on glass surface. The film is formed with plasma treatment duration shorter than 30 s, and water contact angles larger than 90° together with contact angle hysteresis larger than 10° can be achieved. According to Fourier transform infrared spectroscopy and atomic force microscopy analysis, hydrocarbon functional groups are created on the glass substrate, producing coatings with low surface energy (˜35 mJ m-2) with no modification of the surface roughness. To infer the plasma processes leading to the formation of low energy DLC surfaces, optical emission spectroscopy was used. From the results, a direct relationship between the CH species present in the plasma and the carbon concentration in the hydrophobic layer was found, which suggests that the CH species are the precursors of DLC film growth. Additionally, the plasma gas temperature was measured to be below 350 K which highlights the suitability of using AP-DBD to treat thermo-sensitive surfaces.

  14. Organic dielectrics in high voltage cables

    Energy Technology Data Exchange (ETDEWEB)

    Vermeer, J

    1962-03-01

    It appears that the limit has been reached in the applicability of oil-impregnated paper as the dielectric for ehv cables, as with rising voltages the prevention of conductor losses becomes increasingly difficult, while the dielectric losses of the insulation, increasing as the square of the voltage, contribute to a greater extent to the temperature rise of the conductor. The power transmitting capacity of ehv cables reaches a maximum at 500 to 600 kV for these reasons. Apart from artificial cooling, a substantial improvement can be obtained only with the use of insulating materials with much lower dielectric losses; these can moreover be applied with a smaller wall thickness, but this means higher field strengths. Synthetic polymer materials meet these requirements but can be used successfully only in the form of lapped film tapes impregnated with suitable liquids. The electrical properties of these heterogeneous dielectrics, in particular, their impulse breakdown strengths are studied in detail.

  15. Quantitative nanometer-scale mapping of dielectric tunability

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Klein, Andreas [Technische Univ. Darmstadt (Germany); Gassmann, Juergen [Technische Univ. Darmstadt (Germany); Jesse, Stephen [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Li, Qian [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kalinin, Sergei V. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Wisinger, Nina Balke [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-08-21

    Two scanning probe microscopy techniques—near-field scanning microwave microscopy (SMM) and piezoresponse force microscopy (PFM)—are used to characterize and image tunability in a thin (Ba,Sr)TiO3 film with nanometer scale spatial resolution. While sMIM allows direct probing of tunability by measurement of the change in the dielectric constant, in PFM, tunability can be extracted via electrostrictive response. The near-field microwave imaging and PFM provide similar information about dielectric tunability with PFM capable to deliver quantitative information on tunability with a higher spatial resolution close to 15 nm. This is the first time that information about the dielectric tunability is available on such length scales.

  16. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    OpenAIRE

    Valagiannopoulos, Constantinos A.; Tsitsas, Nikolaos L.

    2012-01-01

    The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal po...

  17. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  18. Dielectric functions and energy band gap variation studies of manganese doped Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} thin films using spectroscopic ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Gautam, Prikshit, E-mail: pgautam.phy.du@gmail.com [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India); Department of Physics Kirori Mal College, University of Delhi, Delhi 110007 (India); Sachdeva, Anupama [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India); Singh, Sushil K. [Functional Materials Division, SSPL, Timarpur, New Delhi 110054 (India); Tandon, R.P., E-mail: ram_tandon@hotmail.com [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India)

    2014-12-25

    Highlights: • Mn Doped Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} (BLT) thin films prepared by chemical solution deposition technique. • Raman spectroscopy of these films shows that Mn{sup 3+} is well substituted at Ti{sup 4+} site. • The optical properties of BLT and Mn modified BLT thin films were investigated by using spectroscopic ellipsometry. • A double Tauc–Lorentz (DTL) dispersion relation was successfully used to model the dielectric functions. • The direct optical band gap (Eg{sup d}) is found to decrease with increase in Mn content. - Abstract: Single phase polycrystalline Mn-modified Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} (BLT) thin films were prepared by chemical solution deposition method using spin coating technique on Pt/Ti/SiO{sub 2}/Si (1 0 0) substrates. Raman spectroscopy of these films shows that Mn{sup 3+} is well substituted at Ti{sup 4+} site. The optical properties of BLT and Mn modified BLT thin films were investigated at room temperature by using spectroscopic ellipsometry (SE) in the energy range 0.72–6.2 eV. A double Tauc–Lorentz (DTL) dispersion relation was successfully used to model the dielectric functions of these films where a shift to the lower energy side with Mn doping is seen. The full width at half maxima (FWHM) (Γ) of dielectric function is found to increase with Mn doping. This increase in FWHM may be attributed to the increase in the trap density in forbidden band which consequently decreases the value of direct optical band gap (Eg{sup d}). The direct optical band gap (Eg{sup d}) is found to decrease with increase in Mn content in the studied composition range. This decrease in Eg{sup d} with doping may be attributed to the variation in the defect concentration present in the structure.

  19. Dielectric properties of ligand-modified gold nanoparticles/SU-8 photopolymer based nanocomposites

    KAUST Repository

    Toor, Anju; So, Hongyun; Pisano, Albert P.

    2017-01-01

    This article reports the enhanced dielectric properties of a photodefinable nanocomposite material containing sub–10 nm coated metal nanoparticles (NPs). The surface morphology of the synthesized dodecanethiol-functionalized gold NPs was characterized using the transmission electron microscopy (TEM). We investigated the particle agglomeration and dispersion during the various stages of the nanocomposite synthesis using TEM. Physical properties such as dielectric permittivity and dielectric loss were measured experimentally. The dependence of dielectric permittivity and loss tangent on particle concentration and frequency was studied. Nanocomposite films showed an approximately three times enhancement in average dielectric constant over the polymer base value and an average dielectric loss of 0.09 at 1 kHz, at a filler loading of 10% w/w.

  20. Dielectric properties of ligand-modified gold nanoparticles/SU-8 photopolymer based nanocomposites

    KAUST Repository

    Toor, Anju

    2017-04-15

    This article reports the enhanced dielectric properties of a photodefinable nanocomposite material containing sub–10 nm coated metal nanoparticles (NPs). The surface morphology of the synthesized dodecanethiol-functionalized gold NPs was characterized using the transmission electron microscopy (TEM). We investigated the particle agglomeration and dispersion during the various stages of the nanocomposite synthesis using TEM. Physical properties such as dielectric permittivity and dielectric loss were measured experimentally. The dependence of dielectric permittivity and loss tangent on particle concentration and frequency was studied. Nanocomposite films showed an approximately three times enhancement in average dielectric constant over the polymer base value and an average dielectric loss of 0.09 at 1 kHz, at a filler loading of 10% w/w.

  1. Optical constants and structural properties of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, Dmitry I.; Arsenin, Aleksey V.; Stebunov, Yury V.

    2017-01-01

    We report a comprehensive experimental study of optical and electrical properties of thin polycrystalline gold films in a wide range of film thicknesses (from 20 to 200 nm). Our experimental results are supported by theoretical calculations based on the measured morphology of the fabricated gold...... rules for thin-film plasmonic and nanophotonic devices....... films. We demonstrate that the dielectric function of the metal is determined by its structural morphology. Although the fabrication process can be absolutely the same for different films, the dielectric function can strongly depend on the film thickness. Our studies show that the imaginary part...

  2. High energy density, long life energy storage capacitor dielectric system

    International Nuclear Information System (INIS)

    Nichols, D.H.; Wilson, S.R.

    1977-01-01

    The evolution of energy storage dielectric systems shows a dramatic improvement in life and joule density, culminating in a 50% to 300% life improvement of polypropylene film-paper-phthalate ester over paper-castor oil depending on service. The physical and electrical drawbacks of castor oil are not present in the new system, allowing the capacitor designer to utilize the superior insulation resistance, dielectric strength, and corona resistance to full advantage. The result is longer life for equal joule density or greater joule density for equal life. Field service proof of the film-Geconol system superiority is based on 5 megajoule in operation and 16 megajoule on order

  3. Dielectric materials for use in thin-film capacitors

    Science.gov (United States)

    Carr, H. E.; Foster, W. D.; Fromhold, A. T., Jr.; Harbuck, T. A.

    1969-01-01

    Investigation report presents details of dielectric properties of various metals measured at 300 degrees K for thermally evaporated oxides from 300 to 6000 A in thickness. It is relevant to the medium of integrated circuitry.

  4. Imaging optical fields below metal films and metal-dielectric waveguides by a scanning microscope

    Science.gov (United States)

    Zhu, Liangfu; Wang, Yong; Zhang, Douguo; Wang, Ruxue; Qiu, Dong; Wang, Pei; Ming, Hai; Badugu, Ramachandram; Rosenfeld, Mary; Lakowicz, Joseph R.

    2017-09-01

    Laser scanning confocal fluorescence microscopy (LSCM) is now an important method for tissue and cell imaging when the samples are located on the surfaces of glass slides. In the past decade, there has been extensive development of nano-optical structures that display unique effects on incident and transmitted light, which will be used with novel configurations for medical and consumer products. For these applications, it is necessary to characterize the light distribution within short distances from the structures for efficient detection and elimination of bulky optical components. These devices will minimize or possibly eliminate the need for free-space light propagation outside of the device itself. We describe the use of the scanning function of a LSCM to obtain 3D images of the light intensities below the surface of nano-optical structures. More specifically, we image the spatial distributions inside the substrate of fluorescence emission coupled to waveguide modes after it leaks through thin metal films or dielectric-coated metal films. The observed spatial distribution were in general agreement with far-field calculations, but the scanning images also revealed light intensities at angles not observed with classical back focal plane imaging. Knowledge of the subsurface optical intensities will be crucial in the combination of nano-optical structures with rapidly evolving imaging detectors.

  5. Synthesis of flat sticky hydrophobic carbon diamond-like films using atmospheric pressure Ar/CH{sub 4} dielectric barrier discharge

    Energy Technology Data Exchange (ETDEWEB)

    Rincón, R., E-mail: rocio.rincon@emt.inrs.ca, E-mail: chaker@emt.inrs.ca; Matos, J. de; Chaker, M., E-mail: rocio.rincon@emt.inrs.ca, E-mail: chaker@emt.inrs.ca [Institut National de la Recherche Scientifique, 1650 Boulevard Lionel Boulet, Varennes, Québec J3X1S2 (Canada); Hendaoui, A. [Institut National de la Recherche Scientifique, 1650 Boulevard Lionel Boulet, Varennes, Québec J3X1S2 (Canada); Department of Physics, College of Science and General Studies, Alfaisal University, Takhasusi Road, Riyadh 11533 (Saudi Arabia)

    2016-06-14

    An Ar/CH{sub 4} atmospheric pressure dielectric barrier discharge (AP-DBD) was used to synthesize sticky hydrophobic diamond-like carbon (DLC) films on glass surface. The film is formed with plasma treatment duration shorter than 30 s, and water contact angles larger than 90° together with contact angle hysteresis larger than 10° can be achieved. According to Fourier transform infrared spectroscopy and atomic force microscopy analysis, hydrocarbon functional groups are created on the glass substrate, producing coatings with low surface energy (∼35 mJ m{sup −2}) with no modification of the surface roughness. To infer the plasma processes leading to the formation of low energy DLC surfaces, optical emission spectroscopy was used. From the results, a direct relationship between the CH species present in the plasma and the carbon concentration in the hydrophobic layer was found, which suggests that the CH species are the precursors of DLC film growth. Additionally, the plasma gas temperature was measured to be below 350 K which highlights the suitability of using AP-DBD to treat thermo-sensitive surfaces.

  6. Synthesis and electrical characterization of low-temperature thermal-cured epoxy resin/functionalized silica hybrid-thin films for application as gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Na, Moonkyong, E-mail: nmk@keri.re.kr [HVDC Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of); System on Chip Chemical Process Research Center, Department of Chemical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 790-784 (Korea, Republic of); Kang, Young Taec [Creative and Fundamental Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of); Department of Polymer Science and Engineering, Pusan National University, Busan, 609-735 (Korea, Republic of); Kim, Sang Cheol [HVDC Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of); Kim, Eun Dong [Creative and Fundamental Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of)

    2013-07-31

    Thermal-cured hybrid materials were synthesized from homogenous hybrid sols of epoxy resins and organoalkoxysilane-functionalized silica. The chemical structures of raw materials and obtained hybrid materials were characterized using Fourier transform infrared spectroscopy. The thermal resistance of the hybrids was enhanced by hybridization. The interaction between epoxy matrix and the silica particles, which caused hydrogen bonding and van der Waals force was strengthened by organoalkoxysilane. The degradation temperature of the hybrids was improved by approximately 30 °C over that of the parent epoxy material. The hybrid materials were formed into uniformly coated thin films of about 50 nm-thick using a spin coater. An optimum mixing ratio was used to form smooth-surfaced hybrid films. The electrical property of the hybrid film was characterized, and the leakage current was found to be well below 10{sup −6} A cm{sup −2}. - Highlights: • Preparation of thermal-curable hybrid materials using epoxy resin and silica. • The thermal stability was enhanced through hybridization. • The insulation property of hybrid film was investigated as gate dielectrics.

  7. Microwave Characterization of Ba-Substituted PZT and ZnO Thin Films.

    Science.gov (United States)

    Tierno, Davide; Dekkers, Matthijn; Wittendorp, Paul; Sun, Xiao; Bayer, Samuel C; King, Seth T; Van Elshocht, Sven; Heyns, Marc; Radu, Iuliana P; Adelmann, Christoph

    2018-05-01

    The microwave dielectric properties of (Ba 0.1 Pb 0.9 )(Zr 0.52 Ti 0.48 )O 3 (BPZT) and ZnO thin films with thicknesses below were investigated. No significant dielectric relaxation was observed for both BPZT and ZnO up to 30 GHz. The intrinsic dielectric constant of BPZT was as high as 980 at 30 GHz. The absence of strong dielectric dispersion and loss peaks in the studied frequency range can be linked to the small grain diameters in these ultrathin films.

  8. Dielectric spectroscopy studies of low-disorder and low-dimensional materials

    OpenAIRE

    Tripathi, Pragya

    2016-01-01

    In this thesis we employ dielectric spectroscopy (in different implementations) to study the dielectric properties of different materials ranging from completely disordered supercooled liquids to low-disorder solids with only ratcheting reorientational motions, to low-dimensional systems such as thin films or needle-like crystals. The probed material properties include the electrical conductivity, the space-charge processes due to sample heterogeneities, molecular dynamics, hydrogen-bond dyna...

  9. Transmission of Free Radicals through and Damage to Freestanding Single and Multilayer Dielectric Film

    Science.gov (United States)

    Choudhury, Faraz Anwar

    A high concentration of free radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Measuring the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups and often modifications to the plasma reactor. In this work, we present a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and non-immobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. Using radical-sensitive dyes and free-standing films, the transmission of oxygen radicals through silicon nitride and silicon dioxide dielectric films is measured and their absorption lengths are determined. The absorption lengths were found to be 33, 37 and 40 nm for 15, 30 and 45-minute oxygen plasma exposures respectively. FTIR and XRR measurements show that a silicon oxynitride-like layer forms on the surface of the film which has a lower density than silicon nitride. The increase in absorption length with plasma-exposure time is attributed to the formation of the surface layer. In silicon dioxide films, the absorption length of oxygen radicals was found to be 70 nm after 20 minutes of plasma exposure. After 30 minutes of plasma exposure under the same conditions, the absorption length was reduced to 66 nm. XRR

  10. Enhanced performance of inverted organic photovoltaic cells using CNTs-TiO(X) nanocomposites as electron injection layer.

    Science.gov (United States)

    Zhang, Hong; Xu, Meifeng; Cui, Rongli; Guo, Xihong; Yang, Shangyuan; Liao, Liangsheng; Jia, Quanjie; Chen, Yu; Dong, Jinquan; Sun, Baoyun

    2013-09-06

    In this study, we fabricated inverted organic photovoltaic cells with the structure ITO/carbon nanotubes (CNTs)-TiO(X)/P3HT:PCBM/MoO₃/Al by spin casting CNTs-TiO(X) nanocomposite (CNTs-TiO(X)) as the electron injection layer onto ITO/glass substrates. The power conversion efficiency (PCE) of the 0.1 wt% single-walled nanotubes (SWNTs)-TiO(X) nanocomposite device was almost doubled compared with the TiO(X) device, but with increasing concentration of the incorporated SWNTs in the TiO(X) film, the performance of the devices appeared to decrease rapidly. Devices with multi-walled NTs in the TiO(X) film have a similar trend. This phenomenon mainly depends on the inherent physical and chemical characteristics of CNTs such as their high surface area, their electron-accepting properties and their excellent carrier mobility. However, with increasing concentration of CNTs, CNTs-TiO(X) current leakage pathways emerged and also a recombination of charges at the interfaces. In addition, there was a significant discovery. The incorporated CNTs were highly conducive to enhancing the degree of crystallinity and the ordered arrangement of the P3HT in the active layers, due to the intermolecular π-π stacking interactions between CNTs and P3HT.

  11. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  12. Design and fabrication of multi-dielectric thin film laser filters and mirrors

    International Nuclear Information System (INIS)

    Alsous, M. B.

    2005-01-01

    Multi-dielectric-film optical filters have designed as mirrors for frequency-doubled-Nd-YAG pumped Raman lasers at different wavelengths (435, 369.9, 319.8, 953.6, 683 nm), and for use in CVL pumped dye lasers: as beam-splitters, antireflection filters, and narrow-band filters. In this work, a theoretical design of these mirrors and filters is given. The treatment and optimization of these designs is detailed in order to overcome the difficulties and reach the final and suitable designs for our needs. In addition, we will describe the evaporation method and the best conditions to do it. These filters should be easy to make and able to resist the laser powers of the pulsed Nd-YAG laser (200mJ/pulse) and the output power of the CVL. Thus, we have adopted designs with the least number of layers and used materials and oxides, which could resist to high laser powers. These filters were tested with laser shots and the convenient designs that were able to support the laser power have been adopted. (Author)

  13. Dielectric breakdown of ultrathin aluminum oxide films induced by scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Magtoto, N. P.; Niu, C.; Ekstrom, B. M.; Addepalli, S.; Kelber, J. A.

    2000-01-01

    Dielectric breakdown of 7-Aa-thick Al 2 O 3 (111) films grown on Ni 3 Al(111) under ultrahigh vacuum conditions is induced by increasing the bias voltage on the scanning tunneling microscopy tip under constant current feedback. Breakdown is marked by the precipitous retreat of the tip from the surface, and the formation of an elevated feature in the scanning tunneling microscopy image, typically greater than 5 nm high and ∼100 nm in diameter. Constant height measurements performed at tip/sample distances of 1 nm or less yield no tip/substrate physical interaction, indicating that such features do not result from mass transport. Consistent with this, current/voltage measurements within the affected regions indicate linear behavior, in contrast to a band gap of 1.5 eV observed at unaffected regions of the oxide surface. A threshold electric field value of 11±1 MV cm -1 is required to induce breakdown, in good agreement with extrapolated values from capacitance measurements on thicker oxides. (c) 2000 American Institute of Physics

  14. Effects of B2O3-Li2O additions on the dielectric properties of screen printing Ba0.6Sr0.4TiO3 thick films

    International Nuclear Information System (INIS)

    Zeng, Yike; Gao, Can; Zhang, Guangzu; Jiang, Shenglin

    2012-01-01

    Ba 0.6 Sr 0.4 TiO 3 (BST) thick films were fabricated on Al 2 O 3 substrate via the screen printing technology by using B 2 O 3 -Li 2 O additions as liquid-phase sintering aids. The effects of doping of B 2 O 3 and Li 2 CO 3 on the phase compositions, microstructures, and dielectric tunable properties of the thick films were investigated systematically. The X-ray diffraction patterns showed that BST diffraction peaks shifted toward higher angle with the B 2 O 3 -Li 2 O doping content, which indicated the substitution of B 3+ and Li + in Ba 2+ site. It was also found that the grain size and electrical properties of the thick film were strongly affected by the glass content. The grain size and the relative permittivity decreased obviously with the increase of B 2 O 3 -Li 2 O additive. In addition, for the thick film with 4.5 wt% glass content, optimized sintering, and electrical properties were obtained: the sintering temperature of 900 C, relative permittivity of 312 (at 10 kHz), dielectric loss of 0.0039, tunability of 16.2% (at 3 kV/mm). These good sintering and electrical properties indicate that BST thick film with B 2 O 3 -Li 2 O addition is benefit for the development of LTCC technology and tunable devices. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Dielectric relaxation in epitaxial films of paraelectric-magnetic SrTiO.sub.3./sub.-SrMnO.sub.3./sub. solid solution

    Czech Academy of Sciences Publication Activity Database

    Savinov, Maxim; Bovtun, Viktor; Tereshina-Chitrova, Evgenia; Stupakov, Alexandr; Dejneka, Alexandr; Tyunina, Marina

    2018-01-01

    Roč. 112, č. 5 (2018), s. 1-4, č. článku 052901. ISSN 0003-6951 R&D Projects: GA ČR GA15-15123S Institutional support: RVO:68378271 Keywords : dielectric relaxation * epitaxial films * paraelectric-magnetic * SrTiO 3 -SrMnO 3 solid solution Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics , supercond.) Impact factor: 3.411, year: 2016

  16. The impact of porosity on the formation of manganese based copper diffusion barrier layers on low-κ dielectric materials

    International Nuclear Information System (INIS)

    McCoy, A P; Bogan, J; Walsh, L; Byrne, C; O’Connor, R; Hughes, G; Woicik, J C

    2015-01-01

    This work investigates the impact of porosity in low-κ dielectric materials on the chemical and structural properties of deposited Mn thin films for copper diffusion barrier layer applications. X-ray photoelectron spectrscopy (XPS) results highlight the difficulty in distinguishing between the various Mn oxidation states which form at the interlayer dielectric (ILD)/Mn interface. The presence of MnSiO 3 and MnO were identified using x-ray absorption spectroscopy (XAS) measurements on both porous and non-porous dielectric materials with evidence of Mn 2 O 3 and Mn 3 O 4 in the deposited film on the latter surface. It is shown that a higher proportion of deposited Mn converts to Mn silicate on an ILD film which has 50% porosity compared with the same dielectric material with no porosity, which is attributed to an enhanced chemical interaction with the effective larger surface area of porous dielectric materials. Transmission electron microscopy (TEM) and energy-dispersive x-ray spectroscopy (EDX) data shows that the Mn overlayer remains predominately surface localised on both porous and non-porous materials. (paper)

  17. Evaluation of the effects of thermal annealing temperature and high-k dielectrics on amorphous InGaZnO thin films by using pseudo-MOS transistors

    International Nuclear Information System (INIS)

    Lee, Se-Won; Cho, Won-Ju

    2012-01-01

    The effects of annealing temperatures and high-k gate dielectric materials on the amorphous In-Ga-Zn-O thin-film transistors (a-IGZO TFTs) were investigated using pseudo-metal-oxide semiconductor transistors (Ψ-MOSFETs), a method without conventional source/drain (S/D) layer deposition. Annealing of the a-IGZO film was carried out at 150 - 900 .deg. C in a N 2 ambient for 30 min. As the annealing temperature was increased, the electrical characteristics of Ψ-MOSFETs on a-IGZO were drastically improved. However, when the annealing temperature exceeded 700 .deg. C, a deterioration of the MOS parameters was observed, including a shift of the threshold voltage (V th ) in a negative direction, an increase in the subthreshold slope (SS) and hysteresis, a decrease in the field effect mobility (μ FE ), an increase in the trap density (N t ), and a decrease in the on/off ratio. Meanwhile, the high-k gate dielectrics enhanced the performance of a-IGZO Ψ-MOSFETs. The ZrO 2 gate dielectrics particularly exhibited excellent characteristics in terms of SS (128 mV/dec), μ FE (10.2 cm -2 /V·s), N t (1.1 x 10 12 cm -2 ), and on/off ratio (5.3 x 10 6 ). Accordingly, the Ψ-MOSFET structure is a useful method for rapid evaluation of the effects of the process and the material on a-IGZO TFTs without a conventional S/D layer deposition.

  18. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene-graphene composite layers for flexible thin film transistors with a polymer gate dielectric.

    Science.gov (United States)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-02-28

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene-graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene-graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm(2) V(-1) s(-1) and a threshold voltage of -0.7 V at V(gs) = -40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm(2) V(-1) s(-1) and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies.

  19. The Effects of Postprocessing on Physical and Solution Deposition of Complex Oxide Thin Films for Tunable Applications

    Science.gov (United States)

    2016-02-01

    BST film capacitor devices were fabricated using physical and chemical solution deposition techniques. The typical dielectric constant of the...electrode loss, and the parallel resistor- capacitor circuit represents the capacitance and the dielectric loss, assuming lead inductance is...Thin barium strontium titanate (BST) films are being developed as dielectric film for use in tunable radio frequency (RF)/microwave applications. Thin

  20. Effects of Bi doping on dielectric and ferroelectric properties

    Indian Academy of Sciences (India)

    [Pb0.95(La1−Bi)0.05][Zr0.53Ti0.47]O3 (PLBZT) ferroelectric thin films have been synthesized on indium tin oxide (ITO)-coated glass by sol–gel processing. PLBZT thin films were annealed at a relatively low temperature of 550 °C in oxygen ambient. Effects of Bi doping on structure, dielectric and ferroelectric properties of ...

  1. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  2. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    Science.gov (United States)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  3. Characterizations of biodegradable epoxy-coated cellulose nanofibrils (CNF) thin film for flexible microwave applications

    Science.gov (United States)

    Hongyi Mi; Chien-Hao Liu; Tzu-Husan Chang; Jung-Hun Seo; Huilong Zhang; Sang June Cho; Nader Behdad; Zhenqiang Ma; Chunhua Yao; Zhiyong Cai; Shaoqin Gong

    2016-01-01

    Wood pulp cellulose nanofibrils (CNF) thin film is a novel recyclable and biodegradable material. We investigated the microwave dielectric properties of the epoxy coated-CNF thin film for potential broad applications in flexible high speed electronics. The characterizations of dielectric properties were carried out in a frequency range of 1–10 GHz. The dielectric...

  4. Hydroxyapatite screen-printed thick films: optical and electrical properties

    International Nuclear Information System (INIS)

    Silva, C.C.; Rocha, H.H.B.; Freire, F.N.A.; Santos, M.R.P.; Saboia, K.D.A.; Goes, J.C.; Sombra, A.S.B.

    2005-01-01

    In this paper, we did a study on the structural and electrical properties of bioceramic hydroxiapatite (HA) thick films. The films were prepared in two layers using the screen-printing technique on Al 2 O 3 substrates. Mechanical alloying has been used successfully to produce nanocrystalline powders of hydroxyapatite to be used in the films. We also look for the effect of the grain size of the HA in the final properties of the film. The samples were studied using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive spectroscopy (EDS), infrared and Raman scattering spectroscopy and electrical measurements. We did a study of the dielectric permittivity and the loss of the films in the radio-frequency of the spectra. The X-ray diffraction patterns of the films indicate that all the peaks associated to HA phase is present in the films. One can notice that, for all the films there is a decrease of the DC (dielectric constant) with the increase of the frequency. The values of the dielectric constant of the films are in between 4 and 9 (at 1 kHz), as a function of the flux concentration. The loss is decreasing as we increase the frequency for all the films. These results strongly suggest that the screen-printing HA thick films are good candidates for applications in biocompatible coatings of implant materials

  5. Hydroxyapatite screen-printed thick films: optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Silva, C.C. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Rocha, H.H.B. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Freire, F.N.A. [Departamento de Quimica Orga-circumflex nica e Inorga-circumflex nica-UFC, Caixa Postal 6030, CEP 60455-760, Fortaleza, Ceara (Brazil); Santos, M.R.P. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Saboia, K.D.A. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Goes, J.C. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Sombra, A.S.B. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil)]. E-mail: sombra@fisica.ufc.br

    2005-07-15

    In this paper, we did a study on the structural and electrical properties of bioceramic hydroxiapatite (HA) thick films. The films were prepared in two layers using the screen-printing technique on Al{sub 2}O{sub 3} substrates. Mechanical alloying has been used successfully to produce nanocrystalline powders of hydroxyapatite to be used in the films. We also look for the effect of the grain size of the HA in the final properties of the film. The samples were studied using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive spectroscopy (EDS), infrared and Raman scattering spectroscopy and electrical measurements. We did a study of the dielectric permittivity and the loss of the films in the radio-frequency of the spectra. The X-ray diffraction patterns of the films indicate that all the peaks associated to HA phase is present in the films. One can notice that, for all the films there is a decrease of the DC (dielectric constant) with the increase of the frequency. The values of the dielectric constant of the films are in between 4 and 9 (at 1 kHz), as a function of the flux concentration. The loss is decreasing as we increase the frequency for all the films. These results strongly suggest that the screen-printing HA thick films are good candidates for applications in biocompatible coatings of implant materials.

  6. Optical spectroscopy, optical conductivity, dielectric properties and new methods for determining the gap states of CuSe thin films

    International Nuclear Information System (INIS)

    Sakr, G.B.; Yahia, I.S.; Fadel, M.; Fouad, S.S.; Romcevic, N.

    2010-01-01

    Research highlights: → The structural, optical dispersion parameters and the Raman spectroscopy have been studied for CuSe thin films. → X-ray diffraction results indicate the amorphous nature of the thermally evaporated CuSe thin films. → The refractive index shows an anomalous dispersion at the lower wavelength (absorption region) and a normal dispersion at the higher wavelengths (transparent region). → The refractive index dispersion obeys the single oscillator model proposed by Wemple and DiDomenico WDD model and the single oscillator parameters were determined. → The band gap of CuSe thin films was determined by three novel methods i.e. (relaxation time, real and imaginary dielectric constant and real and imaginary optical conductivity) which in a good agreement with the Tauc band gap value. - Abstract: The paper describes the structural and optical properties of CuSe thin films. X-ray diffraction pattern indicates that CuSe thin film has an amorphous structure. Transmittance T(λ) and reflectance R(λ) measurements in the wavelength range (300-1700 nm) were used to calculate the refractive index n(λ), the absorption index and the optical dispersion parameters according to Wemple and Didomenico WDD model. The dispersion curve of the refractive index shows an anomalous dispersion in the absorption region and a normal dispersion in the transparent region. The optical bandgap has been estimated and confirmed by four different methods. The value for the direct bandgap for the as-deposited CuSe thin film approximately equals 2.7 eV. The Raman spectroscopy was used to identify and quantify the individual phases presented in the CuSe films.

  7. Super miniaturization of film capacitor dielectrics

    Science.gov (United States)

    Lavene, B.

    1981-01-01

    The alignment of the stable electrical characteristics of film capacitors in the physical dimensions of ceramic and tantalum capacitors are discussed. The reliability of polycarbonate and mylar capacitors are described with respect to their compatibility with military specifications. Graphic illustrations are presented which show electrical and physical comparisons of film, ceramic, and tantalum capacitors. The major focus is on volumetric efficiency, weight reduction, and electrical stability.

  8. Effect of concurrent Mg/Nb-doping on dielectric properties of Ba0.45Sr0.55TiO3 thin films

    Science.gov (United States)

    Alema, Fikadu; Reich, Michael; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-08-01

    Composition, microstructure, and dielectric properties of undoped and Ba(Mg1/3Nb2/3)O3 (BMN) doped Ba0.45Sr0.55TiO3 (BST) thin films deposited via rf. magnetron sputtering on platinized alumina substrates have been investigated. The analysis of microstructure has shown that despite the sizable effect of doping on the residual stress, the latter is partially compensated by the thermal expansion coefficient mismatch, and its influence on the BST film crystal structure is insignificant. It was revealed that BMN doped film demonstrated an average (over 2000 devices) of 52.5% tunability at 640 kV/cm, which is ˜8% lower than the value for the undoped film. This drop is associated with the presence of Mg ions in BMN; however, the effect of Mg doping is partially compensated by that of Nb ions. The decrease in grain size upon doping may also contribute to the tunability drop. Doping with BMN allows achievement of a compensation concentration yielding no free carriers and resulting in significant leakage current reduction when compared with the undoped film. In addition, the presence of large amounts of empty shallow traps related to NbTi• allows localizing free carriers injected from the contacts thus extending the device control voltage substantially above 10 V.

  9. Mechanical and dielectric characterization of lead zirconate titanate(PZT)/polyurethane(PU) thin film composite for energy harvesting

    Science.gov (United States)

    Aboubakr, S.; Rguiti, M.; Hajjaji, A.; Eddiai, A.; Courtois, C.; d'Astorg, S.

    2014-04-01

    The Lead Zirconate titanate (PZT) ceramic is known by its piezoelectric feature, but also by its stiffness, the use of a composite based on a polyurethane (PU) matrix charged by a piezoelectric material, enable to generate a large deformation of the material, therefore harvesting more energy. This new material will provide a competitive alternative and low cost manufacturing technology of autonomous systems (smart clothes, car seat, boat sail, flag ...). A thin film of the PZT/PU composite was prepared using up to 80 vol. % of ceramic. Due to the dielectric nature of the PZT, inclusions of this one in a PU matrix raises the permittivity of the composite, on other hand this latter seems to decline at high frequencies.

  10. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  11. All-dielectric resonant cavity-enabled metals with broadband optical transparency

    Science.gov (United States)

    Liu, Zhengqi; Zhang, Houjiao; Liu, Xiaoshan; Pan, Pingping; Liu, Yi; Tang, Li; Liu, Guiqiang

    2017-06-01

    Metal films with broadband optical transparency are desirable in many optoelectronic devices, such as displays, smart windows, light-emitting diodes and infrared detectors. As bare metal is opaque to light, this issue of transparency attracts great scientific interest. In this work, we proposed and demonstrated a feasible and universal approach for achieving broadband optical transparent (BOT) metals by utilizing all-dielectric resonant cavities. Resonant dielectrics provide optical cavity modes and couple strongly with the surface plasmons of the metal film, and therefore produce a broadband near-unity optical transparent window. The relative enhancement factor (EF) of light transmission exceeds 3400% in comparison with that of pure metal film. Moreover, the transparent metal motif can be realized by other common metals including gold (Au), silver (Ag) and copper (Cu). These optical features together with the fully retained electric and mechanical properties of a natural metal suggest that it will have wide applications in optoelectronic devices.

  12. Solid-state densification of spun-cast self-assembled monolayers for use in ultra-thin hybrid dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Hutchins, Daniel O.; Acton, Orb [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Weidner, Tobias [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Cernetic, Nathan [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Baio, Joe E. [Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Castner, David G. [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Ma, Hong, E-mail: hma@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Jen, Alex K.-Y., E-mail: ajen@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemistry, University of Washington, Seattle, WA 98195 (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Rapid processing of SAM in ambient conditions is achieved by spin coating. Black-Right-Pointing-Pointer Thermal annealing of a bulk spun-cast molecular film is explored as a mechanism for SAM densification. Black-Right-Pointing-Pointer High-performance SAM-oxide hybrid dielectric is obtained utilizing a single wet processing step. - Abstract: Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO{sub x} (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7 Multiplication-Sign 10{sup -8} A cm{sup -2} and capacitance density of 0.62 {mu}F cm{sup -2} at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to

  13. Functional silicone copolymers and elastomers with high dielectric permittivity

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Daugaard, Anders Egede; Hvilsted, Søren

    Dielectric elastomers (DEs) are a new and promising transducer technology and are often referred to as ‘artificial muscles’, due to their ability to undergo large deformations when stimulated by electric fields. DEs consist of a soft and thin elastomeric film sandwiched between compliant electrodes......, thereby forming a capacitor [1]. Silicone elastomers are one of the most used materials for DEs due to their high efficiency, fast response times and low viscous losses. The major disadvantage of silicone elastomers is that they possess relatively low dielectric permittivity, which means that a high...... electrical field is necessary to operate the DE. The necessary electrical field can be lowered by creating silicone elastomers with higher dielectric permittivity, i.e. with a higher energy density.The aim of this work is to create new and improved silicone elastomers with high dielectric permittivity...

  14. Stress effects in ferroelectric perovskite thin-films

    Science.gov (United States)

    Zednik, Ricardo Johann

    The exciting class of ferroelectric materials presents the engineer with an array of unique properties that offer promise in a variety of applications; these applications include infra-red detectors ("night-vision imaging", pyroelectricity), micro-electro-mechanical-systems (MEMS, piezoelectricity), and non-volatile memory (NVM, ferroelectricity). Realizing these modern devices often requires perovskite-based ferroelectric films thinner than 100 nm. Two such technologically important material systems are (Ba,Sr)TiO3 (BST), for tunable dielectric devices employed in wireless communications, and Pb(Zr,Ti)O3 (PZT), for ferroelectric non-volatile memory (FeRAM). In general, the material behavior is strongly influenced by the mechanical boundary conditions imposed by the substrate and surrounding layers and may vary considerably from the known bulk behavior. A better mechanistic understanding of these effects is essential for harnessing the full potential of ferroelectric thin-films and further optimizing existing devices. Both materials share a common crystal structure and similar properties, but face unique challenges due to the design parameters of these different applications. Tunable devices often require very low dielectric loss as well as large dielectric tunability. Present results show that the dielectric response of BST thin-films can either resemble a dipole-relaxor or follow the accepted empirical Universal Relaxation Law (Curie-von Schweidler), depending on temperature. These behaviors in a single ferroelectric thin-film system are often thought to be mutually exclusive. In state-of-the-art high density FeRAM, the ferroelectric polarization is at least as important as the dielectric response. It was found that these properties are significantly affected by moderate biaxial tensile and compressive stresses which reversibly alter the ferroelastic domain populations of PZT at room temperature. The 90-degree domain wall motion observed by high resolution

  15. Origin of dielectric relaxor behavior in PVDF-based copolymer and terpolymer films

    Science.gov (United States)

    Pramanick, Abhijit; Osti, Naresh C.; Jalarvo, Niina; Misture, Scott T.; Diallo, Souleymane Omar; Mamontov, Eugene; Luo, Y.; Keum, Jong-Kahk; Littrell, Ken

    2018-04-01

    Relaxor ferroelectrics exhibit frequency-dispersion of their dielectric permittivity peak as a function of temperature, the origin of which has been widely debated. Microscopic understanding of such behavior for polymeric ferroelectrics has presented new challenges since unlike traditional ceramic ferroelectrics, dielectric relaxation in polymers is a consequence of short-range molecular dynamics that are difficult to measure directly. Here, through careful analysis of atomic-level H-atom dynamics as determined by Quasi-elastic Neutron Scattering (QENS), we show that short-range molecular dynamics within crystalline domains cannot explain the macroscopic frequency-dispersion of dielectric properties observed in prototypical polyvinylidene-fluoride (PVDF)-based relaxor ferroelectrics. Instead, from multiscale quantitative microstructural characterization, a clear correlation between the amount of crystalline-amorphous interfaces and dielectric relaxation is observed, which indicates that such interfaces play a central role. These results provide critical insights into the role of atomic and microscopic structures towards relaxor behavior in ferroelectric polymers, which will be important for their future design.

  16. Origin of dielectric relaxor behavior in PVDF-based copolymer and terpolymer films

    Directory of Open Access Journals (Sweden)

    Abhijit Pramanick

    2018-04-01

    Full Text Available Relaxor ferroelectrics exhibit frequency-dispersion of their dielectric permittivity peak as a function of temperature, the origin of which has been widely debated. Microscopic understanding of such behavior for polymeric ferroelectrics has presented new challenges since unlike traditional ceramic ferroelectrics, dielectric relaxation in polymers is a consequence of short-range molecular dynamics that are difficult to measure directly. Here, through careful analysis of atomic-level H-atom dynamics as determined by Quasi-elastic Neutron Scattering (QENS, we show that short-range molecular dynamics within crystalline domains cannot explain the macroscopic frequency-dispersion of dielectric properties observed in prototypical polyvinylidene-fluoride (PVDF-based relaxor ferroelectrics. Instead, from multiscale quantitative microstructural characterization, a clear correlation between the amount of crystalline-amorphous interfaces and dielectric relaxation is observed, which indicates that such interfaces play a central role. These results provide critical insights into the role of atomic and microscopic structures towards relaxor behavior in ferroelectric polymers, which will be important for their future design.

  17. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  18. Solution-Processed Dielectrics Based on Thickness-Sorted Two-Dimensional Hexagonal Boron Nitride Nanosheets

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Jian; Kang, Joohoon; Kang, Junmo; Jariwala, Deep; Wood, Joshua D.; Seo, Jung-Woo T.; Chen, Kan-Sheng; Marks, Tobin J.; Hersam, Mark C.

    2015-10-14

    Gate dielectrics directly affect the mobility, hysteresis, power consumption, and other critical device metrics in high-performance nanoelectronics. With atomically flat and dangling bond-free surfaces, hexagonal boron nitride (h-BN) has emerged as an ideal dielectric for graphene and related two-dimensional semiconductors. While high-quality, atomically thin h-BN has been realized via micromechanical cleavage and chemical vapor deposition, existing liquid exfoliation methods lack sufficient control over h-BN thickness and large-area film quality, thus limiting its use in solution-processed electronics. Here, we employ isopycnic density gradient ultracentrifugation for the preparation of monodisperse, thickness-sorted h-BN inks, which are subsequently layer-by-layer assembled into ultrathin dielectrics with low leakage currents of 3 × 10–9 A/cm2 at 2 MV/cm and high capacitances of 245 nF/cm2. The resulting solution-processed h-BN dielectric films enable the fabrication of graphene field-effect transistors with negligible hysteresis and high mobilities up to 7100 cm2 V–1 s–1 at room temperature. These h-BN inks can also be used as coatings on conventional dielectrics to minimize the effects of underlying traps, resulting in improvements in overall device performance. Overall, this approach for producing and assembling h-BN dielectric inks holds significant promise for translating the superlative performance of two-dimensional heterostructure devices to large-area, solution-processed nanoelectronics.

  19. Luminescence enhancement of ZnO-poly(methylmethacrylate) nanocomposite films by incorporation of crystalline BaTiO_3 nanoparticles

    International Nuclear Information System (INIS)

    Kanamori, Tsuyoshi; Han, Yu; Nagao, Daisuke; Kamezawa, Nao; Ishii, Haruyuki; Konno, Mikio

    2016-01-01

    Highlights: • Dielectric barium titanate (BT) nanoparticles incorporated into luminescence films. • Luminescence intensities increased by the BT nanoparticle incorporation. • Incorporation of highly dielectric nanoparticles effective for luminescence enhancement. - Abstract: Incorporation of highly dielectric nanoparticles into luminescent ZnO-polymethylmethacrylate (PMMA) nanocomposite films was undertaken to examine the effect of nanoparticle incorporation on luminescence intensity of the nanocomposite films. ZnO nanoparticles were prepared as inorganic phosphors by a precipitation method. The ZnO nanoparticles were then surface-modified with 3-methacryloxypropyltrimethoxysilane (MPTMS) to be used for fabrication of the ZnO-PMMA nanocomposite film. Barium titanate (BT) nanoparticles were synthesized with a sol-gel method as the highly dielectric nanoparticles, which were also surface-modified with the MPTMS for the incorporation into the nanocomposite films. Luminescence intensity of the nanocomposite films was successfully increased by the nanoparticle incorporation up to a BT content around 15 vol%. The luminescence intensity higher than that measured for the nanocomposite films incorporating SiO_2 nanoparticles indicated that the incorporation of highly dielectric nanoparticles was an effective approach to enhance the luminescence of ZnO nanoparticles in the polymer thin films.

  20. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sanne, A.; Movva, H. C. P.; Kang, S.; McClellan, C.; Corbet, C. M.; Banerjee, S. K. [Microelectronics Research Center, University of Texas, Austin, Texas 78758 (United States)

    2014-02-24

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriers as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.

  1. Electrical characteristics of AlO sub x N sub y prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    CERN Document Server

    Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S

    2000-01-01

    In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  2. Electrical characteristics of AlO{sub x}N{sub y} prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sang Hun; Jang, Hyeon Woo; Kim, Hyun Soo; Noh, Do Young; Hwang, Hyun Sang [Kwangju Institute of Science and Technology, Kwangju (Korea, Republic of)

    2000-12-01

    In this research, the feasibility of ultrathin AlO{sub x}N{sub y} prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO{sub x}N{sub y}, respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO{sub 2}. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO{sub 2}. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  3. Optical properties of WO3 thin films using surface plasmon resonance technique

    International Nuclear Information System (INIS)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay; Tomar, Monika

    2014-01-01

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO 3 thin films. WO 3 thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO 3 thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO 3 thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO 3 /Au/prism structure were utilized to estimate the dielectric properties of WO 3 thin films at optical frequency (λ = 633 nm). As the thickness of WO 3 thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO 3 film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light

  4. Magnetically tunable dielectric, impedance and magnetoelectric response in MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3} composites thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bala, Kanchan, E-mail: bala.kanchan1987@gmail.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India); Kotnala, R.K. [CSIR, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Negi, N.S., E-mail: nsn_phy_hpu@yahoo.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India)

    2017-02-15

    We have synthesized piezomagnetic–piezoelectric composites thin films MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3}, where x=0.1, 0.2, and 0.3, using the metalorganic deposition (MOD) reaction method. The structural and microstructural analysis using the X-ray diffraction (XRD), AFM, and SEM reveals the presence of homogenous growth of both pervoskite and spinel phases in the composite films. Our results show that all the composites films exhibit good multiferroic as well as considerable magnetoelectric coupling. The impedance (Z′ and Z″) and electrical modulus (M′ and M″) Nyquist plots show distinct electrical responses with the magnetic field. Our analyses suggest that this electrical response arises due to the coexistence of the high resistive phase and the comparatively conductive phase in the MFO/PST composite films. The maximum magnetoelectric coefficient (α) is found to be 4.29 V Oe{sup −1} cm{sup −1} and 2.82 V Oe{sup −1} cm{sup −1} for compositions x=0.1 and 0.2. These values are substantially larger than those reported for bilayer composites thin films in literature and make them interesting for room temperature device applications. - Highlights: • Influence of Sr doping on multiferroic and magnetoelectric properties composites thin films of MnFe{sub 2}O{sub 4} and (Pb, Sr)TiO{sub 3}. • Dielectric constant and dielectric loss with application of magnetic field. • Magnetically tunable AC electrical properties. • Magnetoelectric coupling in MnFe{sub 2}O{sub 4}/(Pb, Sr)TiO{sub 3} composite films by passive method.

  5. High energy density capacitors fabricated by thin film technology

    International Nuclear Information System (INIS)

    Barbee, T W; Johnson, G W; Wagner, A V.

    1999-01-01

    Low energy density in conventional capacitors severely limits efforts to miniaturize power electronics and imposes design limitations on electronics in general. We have successfully applied physical vapor deposition technology to greatly increase capacitor energy density. The high dielectric breakdown strength we have achieved in alumina thin films allows high energy density to be achieved with this moderately low dielectric constant material. The small temperature dependence of the dielectric constant, and the high reliability, high resistivity, and low dielectric loss of Al 2 O 3 , make it even more appealing. We have constructed single dielectric layer thin film capacitors and shown that they can be stacked to form multilayered structures with no loss in yield for a given capacitance. Control of film growth morphology is critical for achieving the smooth, high quality interfaces between metal and dielectric necessary for device operation at high electric fields. Most importantly, high rate deposition with extremely low particle generation is essential for achieving high energy storage at a reasonable cost. This has been achieved by reactive magnetron sputtering in which the reaction to form the dielectric oxide has been confined to the deposition surface. By this technique we have achieved a yield of over 50% for 1 cm 2 devices with an energy density of 14 J per cubic centimeter of Al 2 O 3 dielectric material in 1.2 kV, 4 nF devices. By further reducing defect density and increasing the dielectric constant of the material, we will be able to increase capacitance and construct high energy density devices to meet the requirements of applications in power electronics

  6. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO₂ Gate Dielectrics by CF₄ Plasma Treatment.

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-05-17

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.

  7. Effect of substrate roughness and working pressure on photocatalyst of N-doped TiOx films prepared by reactive sputtering with air

    International Nuclear Information System (INIS)

    Lee, Seon-Hong; Yamasue, Eiji; Okumura, Hideyuki; Ishihara, Keiichi N.

    2015-01-01

    Highlights: • Effect of substrate roughness and working pressure on the physical properties and the photocatalytic properties of the N-doped TiO x films are investigated. • Surface roughness of glass substrate has little influence on the film properties, but significant influence on the photocatalytic ability. • Working pressure has little influence on the produced phases and the atomic bonding configurations, but significant influence on the atomic concentration of the N-doped TiO x film. • High photocatalysis of N-doped TiO x film requires the permissible range of the N doping concentration which shows the interstitial complex N doping states in TiO 2 . - Abstract: N-doped TiO x films on the glass substrate were prepared by radio-frequency (RF) magnetron reactive sputtering of Ti target in a mixed gas of argon and dry air. The effect of substrate roughness and working pressure on the physical properties and the photocatalytic properties of the N-doped TiO x films was investigated. The surface roughness of glass substrate has little influence on the film properties such as produced phases, lattice parameters, introduced nitrogen contents, and atomic bonding configurations, but significant influence on the surface roughness of film resulting in the variation of the photocatalytic ability. The working pressure has little influence on the produced phases and the atomic bonding configurations, but significant influence on the atomic concentration of the N-doped TiO x film, resulting in the large variation of optical, structural, and photocatalytic properties. It is suggested that the high photocatalysis of N-doped TiO x film requires a certain range of the N doping concentration which shows the interstitial complex N doping states in TiO 2

  8. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene–graphene composite layers for flexible thin film transistors with a polymer gate dielectric

    International Nuclear Information System (INIS)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-01-01

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene–graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene–graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm 2  V −1  s −1 and a threshold voltage of −0.7 V at V gs = −40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm 2  V −1  s −1 and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies. (paper)

  9. High-performance pentacene OTFT by incorporating Ti in LaON gate dielectric

    Science.gov (United States)

    Ma, Y. X.; Han, C. Y.; Tang, W. M.; Lai, P. T.

    2017-07-01

    Pentacene organic thin-film transistors (OTFT) using high-k LaTiON gate dielectric with different Ti contents are investigated. The LaxTi(1-x)ON films (with x = 1, 0.87, 0.76, and 0.67) are deposited by reactive sputtering followed by an annealing in N2 at 200 °C. The OTFT with La0.87Ti0.13ON can achieve a high carrier mobility of 2.6 cm2/V.s, a small threshold voltage of -1.5 V, a small sub-threshold swing of 0.07 V/dec, and a small hysteresis of 0.17 V. AFM and X-ray photoelectron spectroscopy reveal that Ti can suppress the hygroscopicity of La oxide to achieve a smoother dielectric surface, which can result in larger pentacene grains and thus higher carrier mobility. All the devices show a clockwise hysteresis because both the LaOH formation and Ti incorporation can generate acceptor-like traps in the gate dielectric.

  10. Dielectric properties of ligand-modified gold nanoparticle/SU-8 photopolymer based nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Toor, Anju, E-mail: atoor@berkeley.edu [Department of Mechanical Engineering, University of California, Berkeley, CA 94720 (United States); So, Hongyun, E-mail: hyso@berkeley.edu [Department of Mechanical Engineering, University of California, Berkeley, CA 94720 (United States); Pisano, Albert P. [Department of Mechanical Engineering, University of California, Berkeley, CA 94720 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, CA 92093 (United States)

    2017-08-31

    Highlights: • Ligand-modified gold NP/SU-8 nanocomposites were synthesized and demonstrated. • Particle agglomeration and dispersion were characterized with different NPs concentration. • Nanocomposites showed higher average dielectric permittivity compared to SU-8 only. • Relatively lower dielectric loss (average 0.09 at 1 kHz) was achieved with 10 % w/w NPs. - Abstract: This article reports the enhanced dielectric properties of a photodefinable polymer nanocomposite material containing sub–10 nm coated metal nanoparticles (NPs). The surface morphology of the synthesized dodecanethiol-functionalized gold NPs was characterized using the transmission electron microscopy (TEM). We investigated the particle agglomeration and dispersion during the various stages of the nanocomposite synthesis using TEM. Physical properties such as dielectric permittivity and dielectric loss were measured experimentally. The dependence of the dielectric permittivity and loss tangent on the particle concentration, and frequency was studied. Nanocomposite films showed an approximately three times enhancement in average dielectric constant over the polymer base value and an average dielectric loss of 0.09 at 1 kHz, at a filler loading of 10% w/w.

  11. Ablation of film stacks in solar cell fabrication processes

    Science.gov (United States)

    Harley, Gabriel; Kim, Taeseok; Cousins, Peter John

    2013-04-02

    A dielectric film stack of a solar cell is ablated using a laser. The dielectric film stack includes a layer that is absorptive in a wavelength of operation of the laser source. The laser source, which fires laser pulses at a pulse repetition rate, is configured to ablate the film stack to expose an underlying layer of material. The laser source may be configured to fire a burst of two laser pulses or a single temporally asymmetric laser pulse within a single pulse repetition to achieve complete ablation in a single step.

  12. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  13. Luminescence enhancement of ZnO-poly(methylmethacrylate) nanocomposite films by incorporation of crystalline BaTiO{sub 3} nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Kanamori, Tsuyoshi; Han, Yu; Nagao, Daisuke, E-mail: dnagao@tohoku.ac.jp; Kamezawa, Nao; Ishii, Haruyuki; Konno, Mikio

    2016-09-15

    Highlights: • Dielectric barium titanate (BT) nanoparticles incorporated into luminescence films. • Luminescence intensities increased by the BT nanoparticle incorporation. • Incorporation of highly dielectric nanoparticles effective for luminescence enhancement. - Abstract: Incorporation of highly dielectric nanoparticles into luminescent ZnO-polymethylmethacrylate (PMMA) nanocomposite films was undertaken to examine the effect of nanoparticle incorporation on luminescence intensity of the nanocomposite films. ZnO nanoparticles were prepared as inorganic phosphors by a precipitation method. The ZnO nanoparticles were then surface-modified with 3-methacryloxypropyltrimethoxysilane (MPTMS) to be used for fabrication of the ZnO-PMMA nanocomposite film. Barium titanate (BT) nanoparticles were synthesized with a sol-gel method as the highly dielectric nanoparticles, which were also surface-modified with the MPTMS for the incorporation into the nanocomposite films. Luminescence intensity of the nanocomposite films was successfully increased by the nanoparticle incorporation up to a BT content around 15 vol%. The luminescence intensity higher than that measured for the nanocomposite films incorporating SiO{sub 2} nanoparticles indicated that the incorporation of highly dielectric nanoparticles was an effective approach to enhance the luminescence of ZnO nanoparticles in the polymer thin films.

  14. Corrosion in low dielectric constant Si-O based thin films: Buffer concentration effects

    International Nuclear Information System (INIS)

    Zeng, F. W.; Lane, M. W.; Gates, S. M.

    2014-01-01

    Organosilicate glass (OSG) is often used as an interlayer dielectric (ILD) in high performance integrated circuits. OSG is a brittle material and prone to stress-corrosion cracking reminiscent of that observed in bulk glasses. Of particular concern are chemical-mechanical planarization techniques and wet cleans involving solvents commonly encountered in microelectronics fabrication where the organosilicate film is exposed to aqueous environments. Previous work has focused on the effect of pH, surfactant, and peroxide concentration on the subcritical crack growth of these films. However, little or no attention has focused on the effect of the conjugate acid/base concentration in a buffer. Accordingly, this work examines the “strength” of the buffer solution in both acidic and basic environments. The concentration of the buffer components is varied keeping the ratio of acid/base and therefore pH constant. In addition, the pH was varied by altering the acid/base ratio to ascertain any additional effect of pH. Corrosion tests were conducted with double-cantilever beam fracture mechanics specimens and fracture paths were verified with ATR-FTIR. Shifts in the threshold fracture energy, the lowest energy required for bond rupture in the given environment, G TH , were found to shift to lower values as the concentration of the base in the buffer increased. This effect was found to be much larger than the effect of the hydroxide ion concentration in unbuffered solutions. The results are rationalized in terms of the salient chemical bond breaking process occurring at the crack tip and modeled in terms of the chemical potential of the reactive species

  15. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    Science.gov (United States)

    Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.

  16. Infrared characterization of strontium titanate thin films

    International Nuclear Information System (INIS)

    Almeida, B.G.; Pietka, A.; Mendes, J.A.

    2004-01-01

    Strontium titanate thin films have been prepared at different oxygen pressures with various post-deposition annealing treatments. The films were deposited by pulsed laser ablation at room temperature on Si(0 0 1) substrates with a silica buffer layer. Infrared reflectance measurements were performed in order to determine relevant film parameters such as layer thicknesses and chemical composition. The infrared reflectance spectra were fitted by using adequate dielectric function forms for each layer. The fitting procedure provided the extraction of the dielectric functions of the strontium titanate film, the silica layer and the substrate. The as-deposited films are found to be amorphous, and their infrared spectra present peaks corresponding to modes with high damping constants. As the annealing time and temperature increases the strontium titanate layer becomes more ordered so that it can be described by its SrTiO 3 bulk mode parameters. Also, the silica layer grows along with the ordering of the strontium titanate film, due to oxidation during annealing

  17. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  18. Optical properties of WO{sub 3} thin films using surface plasmon resonance technique

    Energy Technology Data Exchange (ETDEWEB)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay, E-mail: drguptavinay@gmail.com, E-mail: vgupta@physics.du.ac.in [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Department of Physics, Miranda House, University of Delhi, Delhi 110007 (India)

    2014-01-28

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO{sub 3} thin films. WO{sub 3} thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO{sub 3} thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO{sub 3} thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO{sub 3}/Au/prism structure were utilized to estimate the dielectric properties of WO{sub 3} thin films at optical frequency (λ = 633 nm). As the thickness of WO{sub 3} thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO{sub 3} film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light.

  19. Impact of gamma irradiation on porosity and pore distribution of poly [ethylene-oxide] films: correlation with dielectric and microstructural properties

    Science.gov (United States)

    Saha, Mou; Mukhopadhyay, Madhumita; Ray, Ruma

    2018-03-01

    The structure and morphology of polymers are significantly altered upon exposure to high energy gamma irradiation either through bond breakage i.e. scission or cross-linkage. The present article reports the influence of gamma radiation (1-20 kGy) on the distribution of molecular weight and porosity of the films prepared using irradiated and unirradiated poly-[ethylene oxide] (PEO) powder. The PEO films exhibit pore dimension in the range of 20-500 nm. Selective irradiation is capable of tailoring the pore-size and reducing the multimodal trait to uni-or bimodal upon high energy perturbation. The porosity of PEO films is determined from both 2D-pore surface calculation from SEM images and compared with 3D-BET porosity. Correlation is established among dielectric constant (ɛ') and porosity. The magnitude of ɛ' increases sharply towards low frequency due to electrode polarization effects. Relaxation time is found to be highest and comparable for 1 and 10 KGy. With increase in irradiation dose, scission is predominant, owing to which smaller polymer fragments are produced which are able to follow fast frequency regime and thereby relax at lesser time.

  20. Plasma nitridation optimization for sub-15 A gate dielectrics

    NARCIS (Netherlands)

    Cubaynes, F.N; Schmitz, Jurriaan; van der Marel, C.; Snijders, J.H.M.; Veloso, A.; Rothschild, A.; Olsen, C.; Date, L.

    The work investigates the impact of plasma nitridation process parameters upon the physical properties and upon the electrical performance of sub-15 A plasma nitrided gate dielectrics. The nitrogen distribution and chemical bonding of ultra-thin plasma nitrided films have been investigated using

  1. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  2. Mechanical reliability of porous low-k dielectrics for advanced interconnect: Study of the instability mechanisms in porous low-k dielectrics and their mediation through inert plasma induced re-polymerization of the backbone structure

    Science.gov (United States)

    Sa, Yoonki

    Continuous scaling down of critical dimensions in interconnect structures requires the use of ultralow dielectric constant (k) films as interlayer dielectrics to reduce resistance-capacitance delays. Porous carbon-doped silicon oxide (p-SiCOH) dielectrics have been the leading approach to produce these ultralow-k materials. However, embedding of porosity into dielectric layer necessarily decreases the mechanical reliability and increases its susceptibility to adsorption of potentially deleterious chemical species during device fabrication process. Among those, exposure of porous-SiCOH low-k (PLK) dielectrics to oxidizing plasma environment causes the increase in dielectric constant and their vulnerability to mechanical instability of PLKs due to the loss of methyl species and increase in moisture uptake. These changes in PLK properties and physical stability have been persisting challenges for next-generation interconnects because they are the sources of failure in interconnect integration as well as functional and physical failures appearing later in IC device manufacturing. It is therefore essential to study the fundamentals of the interactions on p-SiCOH matrix induced by plasma exposure and find an effective and easy-to-implement way to reverse such changes by repairing damage in PLK structure. From these perspectives, the present dissertation proposes 1) a fundamental understanding of structural transformation occurring during oxidative plasma exposure in PLK matrix structure and 2) its restoration by using silylating treatment, soft x-ray and inert Ar-plasma radiation, respectively. Equally important, 3) as an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated and investigated. Based on the investigations, stability of PLK films studied by time-dependent ball indentation tester under the elevated temperature, variation in film thickness and

  3. Structure, chemistry and luminescence properties of dielectric La{sub x}Hf{sub 1-x}O{sub y} films

    Energy Technology Data Exchange (ETDEWEB)

    Kaichev, V.V., E-mail: vvk@catalysis.ru [Boreskov Institute of Catalysis, Novosibirsk (Russian Federation); Novosibirsk State University, Novosibirsk (Russian Federation); Smirnova, T.P.; Yakovkina, L.V. [Nikolaev Institute of Inorganic Chemistry, Novosibirsk (Russian Federation); Ivanova, E.V.; Zamoryanskaya, M.V. [Ioffe Physical-Technical Institute, St. Petersburg (Russian Federation); Saraev, A.A. [Boreskov Institute of Catalysis, Novosibirsk (Russian Federation); Novosibirsk State University, Novosibirsk (Russian Federation); Pustovarov, V.A. [Ural State Technical University, Ekaterinburg (Russian Federation); Perevalov, T.V.; Gritsenko, V.A. [Novosibirsk State University, Novosibirsk (Russian Federation); Rzhanov Institute of Semiconductor Physics, Novosibirsk (Russian Federation)

    2016-06-01

    Dielectric films of La{sub 2}O{sub 3}, HfO{sub 2}, and La{sub x}Hf{sub 1-x}O{sub y} were synthesized by metal-organic chemical vapor deposition. Structural, chemical, and luminescence properties of the films were studied using X-ray photoelectron spectroscopy, methods of X-ray diffraction and selected area electron diffraction, high-resolution transmission electron microscopy, and a cathodoluminescence technique. It was found that doping of hafnium oxide with lanthanum leads to the formation of a continuous series of solid solutions with a cubic structure. This process is accompanied by the formation of oxygen vacancies in the HfO{sub 2} lattice. Cathodoluminescence spectra of the La{sub x}Hf{sub 1-x}O{sub y}/Si films exhibited a wide band with the maximum near 2.4–2.5 eV, which corresponds to the blue emission. Quantum-chemical calculations showed that this blue band is due to oxygen vacancies in the HfO{sub 2} lattice. - Highlights: • HfO{sub 2} and solid solution La{sub x}Hf{sub 1-x}O{sub y} films were synthesized by MOCVD. • The continuous series of solid solutions with a cubic structure was formed at La doping of HfO{sub 2}. • Cathodoluminescence band at 2.4–2.5 eV is observed due to the oxygen vacancies in La{sub x}Hf{sub 1-x}O{sub y}. • The cathodoluminescence decreases in intensity when the La concentration increases.

  4. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  5. Towards low-voltage organic thin film transistors (OTFTs with solution-processed high-k dielectric and interface engineering

    Directory of Open Access Journals (Sweden)

    Yaorong Su

    2015-11-01

    Full Text Available Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs, the high operation voltage resulting from the low gate capacitance density of traditional SiO2 remains a severe limitation that hinders OTFTs'development in practical applications. In this regard, developing new materials with high-k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. Here, we introduce a simple solution-based technique to fabricate high-k metal oxide dielectric system (ATO at low-temperature, which can be used effectively to realize low-voltage operation of OTFTs. On the other hand, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. By optimizing the above two interfaces with octadecylphosphonic acid (ODPA self-assembled monolayer (SAM and properly modified low-cost Cu, obviously improved device performance is attained in our low-voltage OTFTs. Further more, organic electronic devices on flexible substrates have attracted much attention due to their low-cost, rollability, large-area processability, and so on. Basing on the above results, outstanding electrical performance is achieved in flexible devices. Our studies demonstrate an effective way to realize low-voltage, high-performance OTFTs at low-cost.

  6. The formation of diffuse discharge by short-front nanosecond voltage pulses and the modification of dielectrics in this discharge

    Science.gov (United States)

    Orlovskii, V. M.; Panarin, V. A.; Shulepov, M. A.

    2014-07-01

    The dynamics of diffuse discharge formation under the action of nanosecond voltage pulses with short fronts (below 1 ns) in the absence of a source of additional preionization and the influence of a dielectric film on this process have been studied. It is established that the diffuse discharge is induced by the avalanche multiplication of charge initiated by high-energy electrons and then maintained due to secondary breakdowns propagating via ionized gas channels. If a dielectric film (polyethylene, Lavsan, etc.) is placed on the anode, then multiply repeated discharge will lead to surface and bulk modification of the film material. Discharge-treated polyethylene film exhibits a change in the optical absorption spectrum in the near-IR range.

  7. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    Science.gov (United States)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  8. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  9. Ferroelectric properties of Pb(Zr,Ti)O3 films under ion-beam induced strain

    Science.gov (United States)

    Lee, Jung-Kun; Nastasi, Michael

    2012-11-01

    The influence of an ion-beam induced biaxial stress on the ferroelectric and dielectric properties of Pb(Zr,Ti)O3 (PZT) films is investigated using the ion beam process as a novel approach to control external stress. Tensile stress is observed to decrease the polarization, permittivity, and ferroelectric fatigue resistance of the PZT films whose structure is monoclinic. However, a compressive stress increases all of them in monoclinic PZT films. The dependence of the permittivity on stress is found not to follow the phenomenological theory relating external forces to intrinsic properties of ferroelectric materials. Changes in the ferroelectric and dielectric properties indicate that the application of a biaxial stress modulates both extrinsic and intrinsic properties of PZT films. Different degrees of dielectric non-linearity suggests the density and mobility of non-180o domain walls, and the domain switching can be controlled by an applied biaxial stress and thereby influence the ferroelectric and dielectric properties.

  10. Effect of Addition of Colloidal Silica to Films of Polyimide, Polyvinylpyridine, Polystyrene, and Polymethylmethacrylate Nano-Composites

    Directory of Open Access Journals (Sweden)

    Soliman Abdalla

    2016-02-01

    Full Text Available Nano-composite films have been the subject of extensive work for developing the energy-storage efficiency of electrostatic capacitors. Factors such as polymer purity, nanoparticle size, and film morphology drastically affect the electrostatic efficiency of the dielectric material that forms the insulating film between the conductive electrodes of a capacitor. This in turn affects the energy storage performance of the capacitor. In the present work, we have studied the dielectric properties of four highly pure amorphous polymer films: polymethyl methacrylate (PMMA, polystyrene, polyimide and poly-4-vinylpyridine. Comparison between the dielectric properties of these polymers has revealed that the higher breakdown performance is a character of polyimide (PI and PMMA. Also, our experimental data shows that adding colloidal silica to PMMA and PI leads to a net decrease in the dielectric properties compared to the pure polymer.

  11. Thin films for precision optics

    International Nuclear Information System (INIS)

    Araujo, J.F.; Maurici, N.; Castro, J.C. de

    1983-01-01

    The technology of producing dielectric and/or metallic thin films for high precision optical components is discussed. Computer programs were developed in order to calculate and register, graphically, reflectance and transmittance spectra of multi-layer films. The technology of vacuum evaporation of several materials was implemented in our thin-films laboratory; various films for optics were then developed. The possibility of first calculate film characteristics and then produce the film is of great advantage since it reduces the time required to produce a new type of film and also reduces the cost of the project. (C.L.B.) [pt

  12. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  13. Optical characterization of directly deposited graphene on a dielectric substrate

    DEFF Research Database (Denmark)

    Kaplas, Tommi; Karvonen, Lasse; Ahmadi, Sepehr

    2016-01-01

    By using scanning multiphoton microscopy we compare the nonlinear optical properties of the directly deposited and transferred to the dielectric substrate graphene. The direct deposition of graphene on oxidized silicon wafer was done by utilizing sacrificial copper catalyst film. We demonstrate...

  14. Microstructural and dielectric properties of Ba0.6Sr0.4Ti1-xZrxO3 based combinatorial thin film capacitors library

    International Nuclear Information System (INIS)

    Liu Guozhen; Wolfman, Jerome; Autret-Lambert, Cecile; Sakai, Joe; Roger, Sylvain; Gervais, Monique; Gervais, Francois

    2010-01-01

    Epitaxial growth of Ba 0.6 Sr 0.4 Ti 1-x Zr x O 3 (0≤x≤0.3) composition spread thin film library on SrRuO 3 /SrTiO 3 layer by combinatorial pulsed laser deposition (PLD) is reported. X-ray diffraction and energy dispersive x-ray spectroscopy studies showed an accurate control of the film phase and composition by combinatorial PLD. A complex evolution of the microstructure and morphology with composition of the library is described, resulting from the interplay between epitaxial stress, increased chemical pressure, and reduced elastic energy upon Zr doping. Statistical and temperature-related capacitive measurements across the library showed unexpected variations in the dielectric properties. Doping windows with enhanced permittivity and tunability are identified, and correlated to microstructural properties.

  15. Conduction mechanism and the dielectric relaxation process of a-Se75Te25-xGax (x=0, 5, 10 and 15 at wt%) chalcogenide glasses

    International Nuclear Information System (INIS)

    Yahia, I.S.; Hegab, N.A.; Shakra, A.M.; Al-Ribaty, A.M.

    2012-01-01

    Se 75 Te 25-x Ga x (x=0, 5, 10 and 15 at wt%) chalcogenide compositions were prepared by the well known melt quenching technique. Thin films with different thicknesses in the range (185-630 nm) of the obtained compositions were deposited by thermal evaporation technique. X-ray diffraction patterns indicate that the amorphous nature of the obtained films. The ac conductivity and the dielectric properties of the studied films have been investigated in the frequency range (10 2 -10 5 Hz) and in the temperature range (293-333 K). The ac conductivity was found to obey the power low ω s where s≤1 independent of film thickness. The temperature dependence of both ac conductivity and the exponent s can be well interpreted by the correlated barrier hopping (CBH) model. The experimental results of the dielectric constant ε 1 and dielectric loss ε 2 are frequency and temperature dependent. The maximum barrier height W m calculated from the results of the dielectric loss according to the Guintini equation, and agrees with that proposed by the theory of hopping of charge carriers over a potential barrier as suggested by Elliott for chalcogenide glasses. The density of localized state was estimated for the studied film compositions. The variation of the studied properties with Ga content was also investigated. The correlation between the ac conduction and the dielectric properties were verified.

  16. Properties of electropolymerised polypyrrole thin film on silver

    Science.gov (United States)

    Jamadade, Shivaji A.; Puri, Vijaya

    2009-07-01

    This paper reports the properties of electropolymerised polypyrrole thin film on silver. The transmission, reflection, conductivity and dielectric behavior of polypyrrole coated silver has been studied in the 8-12 GHz frequency range of the electromagnetic spectrum. The polypyrrole thin film makes silver a better conductor for microwaves. The microwave conductivity is larger than the DC conductivity by many orders of magnitude. The real and imaginary part of dielectric constant increases in magnitude with increasing doping level and also it decreases in magnitude with increasing frequency.

  17. Comparative Study of Antibacterial Properties of Polystyrene Films with TiOx and Cu Nanoparticles Fabricated using Cluster Beam Technique

    DEFF Research Database (Denmark)

    Popok, Vladimir; Jeppesen, Cesarino; Fojan, Peter

    2018-01-01

    Background: Antibacterial materials are of high importance for medicine, food production and conservation. Among these materials, polymer films with metals nanoparticles (NPs) are of considerable attention for many practical applications. Results: The paper describes a novel approach...... for the formation of bactericidal media which are represented by thin polymer films (polystyrene in the current case), produced by spin-coating, with Ti and Cu NPs deposited from cluster beams. Ti NPs are treated in three different ways in order to study different approaches for oxidation and, thus, efficiency...

  18. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    Directory of Open Access Journals (Sweden)

    Constantinos A. Valagiannopoulos

    2012-01-01

    Full Text Available The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal power transfer from the external source to the internal region. We define a quantity of interest, called “enhancement factor,” expressing the increase of the field concentration in the film-slab when the superstrate is present compared to the case that it is absent. It is shown that large enhancement factor values may be achieved by choosing properly the permittivity, the permeability, and the thickness of the superstrate. In particular, it is demonstrated that the field in the film-slab is significantly enhanced when the slab is composed by an ϵ-near-zero (ENZ or low-index metamaterial.

  19. Dielectric properties of proton irradiated PES

    International Nuclear Information System (INIS)

    Shah, Nilam; Singh, N.L.; Singh, K.P.

    2005-01-01

    Polyethersulfone films were irradiated with 3 MeV proton beam at fluences ranging from 10 13 to 10 15 ions/cm 2 . AC electrical properties of irradiated samples were studied in the frequency range 100 Hz to 1MHz by LCR meter. There is an exponential increase in conductivity with frequency but the effect of irradiation is not significant. The dielectric loss/constant are observed to change with fluence. (author)

  20. Far infrared and Raman response in tetragonal PZT ceramic films

    Energy Technology Data Exchange (ETDEWEB)

    Buixaderas, E.; Kadlec, C.; Vanek, P.; Drnovsek, S.; Ursic, H.; Malic, B.

    2015-07-01

    PbZr{sub 0}.38Ti{sub 0}.62O{sub 3} and PbZr{sub 0}.36Ti{sub 0}.64{sub O}3 thick films deposited by screen printing on (0 0 0 1) single crystal sapphire substrates and prepared at two different sintering temperatures, were studied by Fourier-transform infrared reflectivity, time-domain TH{sub z} transmission spectroscopy and micro-Raman spectroscopy. The dielectric response is discussed using the Lichtenecker model to account for the porosity of the films and to obtain the dense bulk dielectric functions. Results are compared with bulk tetragonal PZT 42/58 ceramics. The dynamic response in the films is dominated by an overdamped lead-based vibration in the TH{sub z} range, as known in PZT, but its evaluated dielectric contribution is affected by the porosity and roughness of the surface. (Author)

  1. Dielectric spectroscopy of [P(NID2OD-T2)]n thin films: Effects of UV radiation on charge transport

    International Nuclear Information System (INIS)

    Sepulveda, Pablo I.; Rosado, Alexander O.; Pinto, Nicholas J.

    2014-01-01

    Poly[N,N′-bis(2-octyldodecyl)-naphthalene-1,4,5,8-bis(dicarboximide) -2,6-diyll-alt-5,5′-(2,2′-bithiophene)]-[P(ND12OD-T2)] n is a n-doped polymer that is stable in air. Low frequency (40 Hz–30 kHz) dielectric spectroscopy shows that the polymer impedance strength is reduced under ultra-violet (UV) radiation as a result of charge increase in the bulk polymer. Photo-excitation and the creation of electron-hole pairs and subsequent hole recombination with electron trapping species adsorbed by the polymer are suggested as possible doping mechanisms. The relaxation times were also faster in the presence of UV indicating multiple pathways for oscillating dipoles to relax. These results imply increased polymer conductance with corresponding enhancement of charge mobility due to reduced scattering in the presence of UV radiation. A thin film field effect transistor was fabricated using this polymer as the active material and characterized in the presence of UV radiation. As expected, the device exhibited n-type behavior with a charge mobility of 3.0 × 10 −3 cm 2 /V-s. Exposure to UV radiation increased the channel current, shifted the threshold voltage to more negative values and doubled the value of the mobility. These results are consistent with dielectric measurements and suggest an easy method of increasing device currents and charge mobility in this polymer via UV irradiation. - Highlights: • Ultra-violet (UV) radiation dopes the polymer. • The doping is n-type. • UV radiation enhances charge mobility without post polymer processing. • Dielectric spectroscopy and field effect transistor results are self-consistent

  2. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  3. Temperature dependent dielectric properties and ion transportation in solid polymer electrolyte for lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Sengwa, R. J., E-mail: rjsengwa@rediffmail.com; Dhatarwal, Priyanka, E-mail: dhatarwalpriyanka@gmail.com; Choudhary, Shobhna, E-mail: shobhnachoudhary@rediffmail.com [Dielectric Research Laboratory, Department of Physics, Jai Narain Vyas University, Jodhpur – 342 005 (India)

    2016-05-06

    Solid polymer electrolyte (SPE) film consisted of poly(ethylene oxide) (PEO) and poly(methyl methacrylate) (PMMA) blend matrix with lithium tetrafluroborate (LiBF{sub 4}) as dopant ionic salt and poly(ethylene glycol) (PEG) as plasticizer has been prepared by solution casting method followed by melt pressing. Dielectric properties and ionic conductivity of the SPE film at different temperatures have been determined by dielectric relaxation spectroscopy. It has been observed that the dc ionic conductivity of the SPE film increases with increase of temperature and also the decrease of relaxation time. The temperature dependent relaxation time and ionic conductivity values of the electrolyte are governed by the Arrhenius relation. Correlation observed between dc conductivity and relaxation time confirms that ion transportation occurs with polymer chain segmental dynamics through hopping mechanism. The room temperature ionic conductivity is found to be 4 × 10{sup −6} S cm{sup −1} which suggests the suitability of the SPE film for rechargeable lithium batteries.

  4. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Study on epoxy/BaTiO3 composite embedded capacitor films (ECFs) for organic substrate applications

    International Nuclear Information System (INIS)

    Cho, Sung-Dong; Lee, Joo-Yeon; Hyun, Jin-Gul; Paik, Kyung-Wook

    2004-01-01

    Embedded capacitor films (ECFs) were newly designed for high dielectric constant and low capacitance tolerance (less than ±5%) embedded capacitor fabrication for organic substrates. ECFs are transferable and B-stage films which can be coated on a releasing film. In terms of materials formulation, ECFs are composed of high dielectric constant BaTiO 3 (BT) powder, specially formulated epoxy resin, and latent curing agent. And in terms of coating process, a roll coating method is used for obtaining film thickness uniformity in a large area. Differential scanning calorimeter (DSC) thermal analysis was conducted to determine the optimum amount of curing agent, curing temperature, and curing time. Changes in the dielectric constant of epoxy/BaTiO 3 composite ECFs with BT particle sizes and contents were investigated. Dielectric constant of 90 was obtained using two different size BaTiO 3 powders mixture. Typically, capacitors of 12 μm thick film with 8 nF/cm 2 with less than ±5% capacitance tolerance and low leakage current (less than 10 -7 A/cm 2 at 10 V) were successfully demonstrated on PCBs using epoxy/BaTiO 3 composite embedded capacitor films

  6. Metal-insulator phase transition in a VO2 thin film observed with terahertz spectroscopy

    DEFF Research Database (Denmark)

    Jepsen, Peter Uhd; Fischer, Bernd M.; Thoman, Andreas

    2006-01-01

    We investigate the dielectric properties of a thin VO2 film in the terahertz frequency range in the vicinity of the semiconductor-metal phase transition. Phase-sensitive broadband spectroscopy in the frequency region below the phonon bands of VO2 gives insight into the conductive properties...... of the film during the phase transition. We compare our experimental data with models proposed for the evolution of the phase transition. The experimental data show that the phase transition occurs via the gradual growth of metallic domains in the film, and that the dielectric properties of the film...

  7. Cluster synthesis of monodisperse rutile-TiO2 nanoparticles and dielectric TiO2-vinylidene fluoride oligomer nanocomposites

    International Nuclear Information System (INIS)

    Balasubramanian, Balamurugan; Kraemer, Kristin L; Valloppilly, Shah R; Ducharme, Stephen; Sellmyer, David J

    2011-01-01

    The embedding of oxide nanoparticles in polymer matrices produces a greatly enhanced dielectric response by combining the high dielectric strength and low loss of suitable host polymers with the high electric polarizability of nanoparticles. The fabrication of oxide-polymer nanocomposites with well-controlled distributions of nanoparticles is, however, challenging due to the thermodynamic and kinetic barriers between the polymer matrix and nanoparticle fillers. In the present study, monodisperse TiO 2 nanoparticles having an average particle size of 14.4 nm and predominant rutile phase were produced using a cluster-deposition technique without high-temperature thermal annealing and subsequently coated with uniform vinylidene fluoride oligomer (VDFO) molecules using a thermal evaporation source, prior to deposition as TiO 2 -VDFO nanocomposite films on suitable substrates. The molecular coatings on TiO 2 nanoparticles serve two purposes, namely to prevent the TiO 2 nanoparticles from contacting each other and to couple the nanoparticle polarization to the matrix. Parallel-plate capacitors made of TiO 2 -VDFO nanocomposite film as the dielectric exhibit minimum dielectric dispersion and low dielectric loss. Dielectric measurements also show an enhanced effective dielectric constant in TiO 2 -VDFO nanocomposites as compared to that of pure VDFO. This study demonstrates for the first time a unique electroactive particle coating in the form of a ferroelectric VDFO that has high-temperature stability as compared to conventionally used polymers for fabricating dielectric oxide-polymer nanocomposites.

  8. Supercapacitors: Ferroelectric Polymer-Ceramic Nanoparticle Composite Films for Use in the Capacitive Storage of Electrical Energy

    Science.gov (United States)

    Parsons, Dana; Pierce, Andrew; Porter, Tim; Dillingham, Randy; Cornelison, David

    2010-03-01

    Most new alternative energy solutions including wind and solar power, will require short term energy storage for widespread implementation. One means of storage would be the use of capacitors owing to their rapid delivery of power and longevity compared to chemical batteries. Capacitor materials exhibiting high dielectric permittivity and breakdown strength, as well as light weight and environmental safety are most desirable. Recently, new classes of capacitor dielectric materials, consisting of ferroelectric polymer matrices containing ceramic nanoparticles have attracted renewed interest due to their high potential energy storage, charge and discharge properties and lightweight. In this study, polyvinylidene flouride (PVDF) thin films containing nanoparticles of the ceramic titanium dioxide created using a physical vapor deposition process, are analyzed for use as dielectrics for a supercapacitor. Measured results of the film parameters including dielectric properties and breakdown voltages will be presented. These parameters will be analyzed with respect to film characteristics such as, dispersion of the ceramic particles, thickness of the films and composition ratios.

  9. Poly(vinyl acetate)/clay nanocomposite materials for organic thin film transistor application.

    Science.gov (United States)

    Park, B J; Sung, J H; Park, J H; Choi, J S; Choi, H J

    2008-05-01

    Nanocomposite materials of poly(vinyl acetate) (PVAc) and organoclay were fabricated, in order to be utilized as dielectric materials of the organic thin film transistor (OTFT). Spin coating condition of the nanocomposite solution was examined considering shear viscosity of the composite materials dissolved in chloroform. Intercalated structure of the PVAc/clay nanocomposites was characterized using both wide-angle X-ray diffraction and TEM. Fracture morphology of the composite film on silicon wafer was also observed by SEM. Dielectric constant (4.15) of the nanocomposite materials shows that the PVAc/clay nanocomposites are applicable for the gate dielectric materials.

  10. Control of Nanoplane Orientation in voBN for High Thermal Anisotropy in a Dielectric Thin Film: A New Solution for Thermal Hotspot Mitigation in Electronics.

    Science.gov (United States)

    Cometto, Olivier; Samani, Majid K; Liu, Bo; Sun, Shuangxi; Tsang, Siu Hon; Liu, Johan; Zhou, Kun; Teo, Edwin H T

    2017-03-01

    High anisotropic thermal materials, which allow heat to dissipate in a preferential direction, are of interest as a prospective material for electronics as an effective thermal management solution for hot spots. However, due to their preferential heat propagation in the in-plane direction, the heat spreads laterally instead of vertically. This limitation makes these materials ineffective as the density of hot spots increases. Here, we produce a new dielectric thin film material at room temperature, named vertically ordered nanocrystalline h-BN (voBN). It is produced such that its preferential thermally conductive direction is aligned in the vertical axis, which facilitates direct thermal extraction, thereby addressing the increasing challenge of thermal crosstalk. The uniqueness of voBN comes from its h-BN nanocrystals where all their basal planes are aligned in the direction normal to the substrate plane. Using the 3ω method, we show that voBN exhibits high anisotropic thermal conductivity (TC) with a 16-fold difference between through-film TC and in-plane TC (respectively 4.26 and 0.26 W·m -1 ·K -1 ). Molecular dynamics simulations also concurred with the experimental data, showing that the origin of this anisotropic behavior is due to the nature of voBN's plane ordering. While the consistent vertical ordering provides an uninterrupted and preferred propagation path for phonons in the through-film direction, discontinuity in the lateral direction leads to a reduced in-plane TC. In addition, we also use COMSOL to simulate how the dielectric and thermal properties of voBN enable an increase in hot spot density up to 295% compared with SiO 2 , without any temperature increase.

  11. Atomic scale engineering of HfO{sub 2}-based dielectrics for future DRAM applications

    Energy Technology Data Exchange (ETDEWEB)

    Dudek, Piotr

    2011-02-14

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO{sub 2} thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO{sub 2} (BaHfO{sub 3}) films and Ti-added BaHfO{sub 3} (BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO{sub 3} (k{proportional_to}38) and BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} (k{proportional_to}90) with respect to monoclinic HfO{sub 2} (k{proportional_to}19). Meanwhile the CET values scaled down to 1 nm for BaHfO{sub 3} and {proportional_to}0.8 nm for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} with respect to HfO{sub 2} (CET=1.5 nm). The Hf{sup 4+} ions substitution in BaHfO{sub 3} by Ti{sup 4+} ions led to a significant decrease of thermal budget from 900 C for BaHfO{sub 3} to 700 C for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}. Future studies need to focus

  12. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  13. Monolithic growth of partly cured polydimethylsiloxane thin film layers

    DEFF Research Database (Denmark)

    Yu, Liyun; Skov, Anne Ladegaard

    2014-01-01

    at different curing times. The monolithic films are investigated by rheology, scanning electron microscope, mechanical testing, dielectric relaxation spectroscopy, thermal gravimetric analysis (TGA) and differential scanning calorimetry (DSC). The morphology, mechanical and dielectric properties, as well...... to enable interlayer crosslinking reactions either by application of an adhesion promoter or by ensuring that there are reactive, complementary sites available on the two surfaces. Polydimethylsiloxane (PDMS) is a widely used polymer for DEAPs. In this work, two-layered PDMS films are adhered together...... as thermal stabilities of the bilayer elastomer films are observed to change with the curing time of the monolayers before lamination. The objective of this work is to create adhesion of two layers without destroying the original viscoelastic properties of the PDMS films, and hence enable, for example...

  14. Barium titanate thick films prepared by screen printing technique

    Directory of Open Access Journals (Sweden)

    Mirjana M. Vijatović

    2010-06-01

    Full Text Available The barium titanate (BaTiO3 thick films were prepared by screen printing technique using powders obtained by soft chemical route, modified Pechini process. Three different barium titanate powders were prepared: i pure, ii doped with lanthanum and iii doped with antimony. Pastes for screen printing were prepared using previously obtained powders. The thick films were deposited onto Al2O3 substrates and fired at 850°C together with electrode material (silver/palladium in the moving belt furnace in the air atmosphere. Measurements of thickness and roughness of barium titanate thick films were performed. The electrical properties of thick films such as dielectric constant, dielectric losses, Curie temperature, hysteresis loop were reported. The influence of different factors on electrical properties values was analyzed.

  15. Dielectric oil-based polymer actuator for improved thickness strain and breakdown voltage

    International Nuclear Information System (INIS)

    Cho, Min Sung; Yamamoto, Akio

    2016-01-01

    Dielectric elastomer actuators (DEAs) have been increasingly investigated as alternative actuators to conventional ones. However, DEAs suffer from high rates of premature failure. Therefore, this study proposes a dielectric oil-based polymer actuator, also called a Dielectric liquid actuator (DLA), to compensate for the drawbacks of DEAs. DLA was experimentally compared with conventional DEAs. Results showed that DLA successfully prevented thermal runaway at defects in the electrode and excessive thinning of the film, resulting in increased breakdown voltage. Consequently, premature failure was inhibited, and the performance was improved. The breakdown voltages of DLA and DEA were 6000 and 2000 V, respectively, and their maximum thickness strains were 49.5% and 37.5%, respectively

  16. Dielectric oil-based polymer actuator for improved thickness strain and breakdown voltage

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Min Sung; Yamamoto, Akio [Dept. of Precision Engineering, School of Engineering, The University of Tokyo, Tokyo (Japan)

    2016-09-15

    Dielectric elastomer actuators (DEAs) have been increasingly investigated as alternative actuators to conventional ones. However, DEAs suffer from high rates of premature failure. Therefore, this study proposes a dielectric oil-based polymer actuator, also called a Dielectric liquid actuator (DLA), to compensate for the drawbacks of DEAs. DLA was experimentally compared with conventional DEAs. Results showed that DLA successfully prevented thermal runaway at defects in the electrode and excessive thinning of the film, resulting in increased breakdown voltage. Consequently, premature failure was inhibited, and the performance was improved. The breakdown voltages of DLA and DEA were 6000 and 2000 V, respectively, and their maximum thickness strains were 49.5% and 37.5%, respectively.

  17. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  18. Laser process and corresponding structures for fabrication of solar cells with shunt prevention dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Harley, Gabriel; Smith, David D.; Dennis, Tim; Waldhauer, Ann; Kim, Taeseok; Cousins, Peter John

    2017-11-28

    Contact holes of solar cells are formed by laser ablation to accommodate various solar cell designs. Use of a laser to form the contact holes is facilitated by replacing films formed on the diffusion regions with a film that has substantially uniform thickness. Contact holes may be formed to deep diffusion regions to increase the laser ablation process margins. The laser configuration may be tailored to form contact holes through dielectric films of varying thicknesses.

  19. On bistable states retention in ferroelectric Langmuir-Blodgett films

    Science.gov (United States)

    Geivandov, A. R.; Palto, S. P.; Yudin, S. G.; Fridkin, V. M.; Blinov, L. M.; Ducharme, S.

    2003-08-01

    A new insight into the nature of ferroelectricity is emerging from the study of ultra-thin ferroelectric films prepared of poly(vinylidene fluoride with trifluoroethylene) copolymer using Langmuir-Blodgett (LB) technique. Unique properties of these films indicate the existence of two-dimensional ferroelectricity. The retention of two polarized states in ferroelectric polymer LB films is studied using nonlinear dielectric spectroscopy. The technique is based on phase sensitive measurements of nonlinear dielectric spectroscopy. The amplitude of the current response at the 2nd harmonic of the applied voltage is proportional to the magnitude of the remnant polarization, while its phase gives the sign. We have found that 10 - 20 mm thick LB films can show fast switching time and long retention of the two polarized states. Nevertheless, LB films show a pronounced asymmetry in switching to the opposite states. Possible mechanisms of such behavior are discussed.

  20. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  1. Optical properties and thermal stability of LaYbO3 ternary oxide for high-k dielectric application

    Science.gov (United States)

    Su, Wei-tao; Yang, Li; Li, Bin

    2011-01-01

    A new ternary rare oxide dielectric LaYbO3 film had been prepared on silicon wafers and quartz substrates by reactive sputtering method using a La-Yb metal target. A range of analysis techniques was performed to determine the optical band gap, thermal stability, and electrical property of the deposited samples. It was found the band gap of LaYbO3 film was about 5.8 eV. And the crystallization temperature for rapid thermal annealing (20 s) was between 900 and 950 °C. X-ray photoelectron spectroscopy results indicate the formation of the SiO2 and silicate in the interface between silicon wafer and LaYbO3 film. The dielectric constant is about 23 from the calculation of capacitance-voltage curve, which is comparable higher than previously reported La2O3 or Yb2O3 film.

  2. Evaluation of SiN films for AlGaN/GaN MIS-HEMTs on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Cordier, Y.; Lecotonnec, A.; Chenot, S. [CRHEA-CNRS, Valbonne (France); Baron, N. [CRHEA-CNRS, Valbonne (France); PICOGIGA International, Courtaboeuf (France); Nacer, F.; Goullet, A.; Besland, M.P. [Institut des Materiaux Jean Rouxel IMN, Universite de Nantes (France); Lhermite, H. [Institut d' Electronique et de Telecommunications de Rennes (IETR), Universite de Rennes 1 (France); El Kazzi, M.; Regreny, P.; Hollinger, G. [Institut des Nanotechnologies de Lyon, Ecole Centrale de Lyon, UMR CNRS, Ecully (France)

    2009-06-15

    In this work, AlGaN/GaN HEMT structures grown on Si(111) substrates were covered with SiN{sub x} dielectric films, in order to realize MIS-HEMT devices. The dielectric films have been deposited by plasma enhanced chemical vapor deposition using deposition conditions previously optimized for InP based devices. X-ray photoelectron spectroscopy was used to control the interface formation and characterize the deposited films. Capacitance-voltage, Hall effect and current-voltage measurements were carried out on the MIS-HEMTs and HEMT reference devices and correlated with the dielectric layer quality. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Dipolar cross-linkers for PDMS networks with enhanced dielectric permittivity and low dielectric loss

    DEFF Research Database (Denmark)

    Bahrt, Frederikke; Daugaard, Anders Egede; Hvilsted, Søren

    2013-01-01

    -(4-((4-nitrophenyl)diazenyl)phenoxy)-prop-1-yn-1-ylium, with a synthesized silicone compatible azide-functional cross-linker by click chemistry. The thermal, mechanical and electromechanical properties were investigated for PDMS films with 0 to 3.6 wt% of dipole-cross-linker. The relative dielectric permittivity......Dipole grafted cross-linkers were utilized to prepare polydimethylsiloxane (PDMS) elastomers with various chain lengths and with various concentrations of functional cross-linker. The grafted cross-linkers were prepared by reaction of two alkyne-functional dipoles, 1-ethynyl-4-nitrobenzene and 3...

  4. Aggregate linear properties of ferroelectric ceramics and polycrystalline thin films: Calculation by the method of effective piezoelectric medium

    Science.gov (United States)

    Pertsev, N. A.; Zembilgotov, A. G.; Waser, R.

    1998-08-01

    The effective dielectric, piezoelectric, and elastic constants of polycrystalline ferroelectric materials are calculated from single-crystal data by an advanced method of effective medium, which takes into account the piezoelectric interactions between grains in full measure. For bulk BaTiO3 and PbTiO3 polarized ceramics, the dependences of material constants on the remanent polarization are reported. Dielectric and elastic constants are computed also for unpolarized c- and a-textured ferroelectric thin films deposited on cubic or amorphous substrates. It is found that the dielectric properties of BaTiO3 and PbTiO3 polycrystalline thin films strongly depend on the type of crystal texture. The influence of two-dimensional clamping by the substrate on the dielectric and piezoelectric responses of polarized films is described quantitatively and shown to be especially important for the piezoelectric charge coefficient of BaTiO3 films.

  5. Study of high-k gate dielectrics by means of positron annihilation

    International Nuclear Information System (INIS)

    Uedono, A.; Naito, T.; Otsuka, T.; Ito, K.; Shiraishi, K.; Yamabe, K.; Miyazaki, S.; Watanabe, H.; Umezawa, N.; Hamid, A.; Chikyow, T.; Ohdaira, T.; Suzuki, R.; Ishibashi, S.; Inumiya, S.; Kamiyama, S.; Akasaka, Y.; Nara, Y.; Yamada, K.

    2007-01-01

    High-dielectric constant (high-k) gate materials, such as HfSiO x and HfAlO x , fabricated by atomic-layer-deposition techniques were characterized using monoenergetic positron beams. Measurements of the Doppler broadening spectra of annihilation radiation and the lifetime spectra of positrons indicated that positrons annihilated from the trapped state by open volumes that exist intrinsically in amorphous structures of the films. The size distributions of the open volumes and the local atomic configurations around such volumes can be discussed using positron annihilation parameters, and they were found to correlate with the electrical properties of the films. We confirmed that the positron annihilation is useful technique to characterize the matrix structure of amorphous high-k materials, and can be used to determine process parameters for the fabrication of high-k gate dielectrics. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Propagation properties of dielectric-lined hollow cylindrical metallic waveguides for THz waves

    International Nuclear Information System (INIS)

    Huang Binke; Zhao Chongfeng

    2013-01-01

    For the rigorous analysis of the propagation properties of dielectric-lined hollow cylindrical metallic waveguides operating in the THz range of frequencies, the characteristic equation for propagation constants is derived from the electromagnetic field equations and the boundary conditions of the dielectric-lined waveguides. The propagation constant of the dominant hybrid HE 11 mode can be obtained by solving the characteristic equation with the improved Muller method. The classical relaxation-effect model for the conductivity is adopted to describe the frequency dispersive behavior of normal metals for the metallic waveguide wall. For a 1.8 mm bore diameter silver waveguide with the inner surface coated with a 17 μm-thick layer of polystyrene(PS) film, the transmission losses of HE 11 mode can be reduced to the level below 1 dB/m at 1.5-3.0 THz, and the dispersion is relatively small for HE 11 mode. In addition, with the PS film thickness increasing, the transmission losses of HE 11 mode increase first and then decrease for a 2.2 mm bore diameter silver waveguide at 2.5 THz, and the minimum loss can be achieved by adopting the optimum dielectric layer thickness. (authors)

  7. InAs/GaAs quantum-dot intermixing: comparison of various dielectric encapsulants

    KAUST Repository

    Alhashim, Hala H.

    2015-10-16

    We report on the impurity-free vacancy-disordering effect in InAs/GaAs quantum-dot (QD) laser structure based on seven dielectric capping layers. Compared to the typical SiO2 and Si3N4 films, HfO2 and SrTiO3 dielectric layers showed superior enhancement and suppression of intermixing up to 725°C, respectively. A QD peak ground-state differential blue shift of >175  nm (>148  meV) is obtained for HfO2 capped sample. Likewise, investigation of TiO2, Al2O3, and ZnO capping films showed unusual characteristics, such as intermixing-control caps at low annealing temperature (650°C) and interdiffusion-promoting caps at high temperatures (≥675°C). We qualitatively compared the degree of intermixing induced by these films by extracting the rate of intermixing and the temperature for ground-state and excited-state convergences. Based on our systematic characterization, we established reference intermixing processes based on seven different dielectric encapsulation materials. The tailored wavelength emission of ∼1060−1200  nm at room temperature and improved optical quality exhibited from intermixed QDs would serve as key materials for eventual realization of low-cost, compact, and agile lasers. Applications include solid-state laser pumping, optical communications, gas sensing, biomedical imaging, green–yellow–orange coherent light generation, as well as addressing photonic integration via area-selective, and postgrowth bandgap engineering.

  8. Dielectric properties of plasma sprayed silicates subjected to additional annealing

    Czech Academy of Sciences Publication Activity Database

    Ctibor, Pavel; Sedláček, J.; Nevrlá, Barbara; Neufuss, Karel

    2017-01-01

    Roč. 10, č. 2 (2017), s. 105-114 ISSN 2008-2134 Institutional support: RVO:61389021 Keywords : Annealing * Dielectric properties * Plasma spraying * Silicates * Electrical properties * Insulators Subject RIV: JK - Corrosion ; Surface Treatment of Materials OBOR OECD: Coating and films http://pccc.icrc.ac.ir/Articles/1/18/990/

  9. Magnetoelectric effect in nanogranular FeCo-MgF films at GHz frequencies

    Science.gov (United States)

    Ikeda, Kenji; Kobayashi, Nobukiyo; Arai, Ken-Ichi; Yabukami, Shin

    2018-01-01

    The magnetoelectric effect is a key issue for material science and is particularly significant in the high frequency band, where it is indispensable in industrial applications. Here, we present for the first time, a study of the high frequency tunneling magneto-dielectric (TMD) effect in nanogranular FeCo-MgF films, consisting of nanometer-sized magnetic FeCo granules dispersed in an MgF insulator matrix. Dielectric relaxation and the TMD effect are confirmed at frequencies over 10 MHz. The frequency dependence of dielectric relaxation is described by the Debye-Fröhlich model, taking relaxation time dispersion into account, which reflects variations in the nature of the microstructure, such as granule size, and the inter-spacing between the granules that affect the dielectric response. The TMD effect reaches a maximum at a frequency that is equivalent to the inverse of the relaxation time. The frequency where the peak TMD effect is observed varies between 12 MHz and 220 MHz, depending on the concentration of magnetic metal in the nanogranular films. The inter-spacing of the films decreases with increasing magnetic metal concentration, in accordance with the relaxation time. These results indicate that dielectric relaxation is controlled by changing the nanostructure, using the deposition conditions. A prospective application of these nanogranular films is in tunable impedance devices for next-generation mobile communication systems, at frequencies over 1 GHz, where capacitance is controlled using the applied magnetic field.

  10. Dielectric properties of solution-grown-undoped and acrylic-acid ...

    Indian Academy of Sciences (India)

    Dielectric capacities and losses were measured, in the temperature (50–170°C) and frequency (01–100 kHz range), for undoped and acrylic acid (AA) doped ethyl cellulose (EC) films (thickness about 20 m) with progressive increase in the concentration of dopant in the polymer matrix. The variation of capacity with ...

  11. Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions

    KAUST Repository

    Huang, Yi-Jen

    2016-04-07

    The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we show that the resistive switching material structure, (amorphous TiOx)/(Ag nanoparticles)/(polycrystalline TiOx), fabricated on the textured-FTO substrate with ITO as the top electrode exhibits both the memory switching and threshold switching functions. When the device is used for resistive switching, it is forming-free for resistive memory applications with low operation voltage (<±1 V) and self-compliance to current up to 50 μA. When it is used for threshold switching, the low threshold current is beneficial for improving the device selectivity. The variation of oxygen distribution measured by energy dispersive X-ray spectroscopy and scanning transmission electron microscopy indicates the formation or rupture of conducting filaments in the device at different resistance states. It is therefore suggested that the push and pull actions of oxygen ions in the amorphous TiOx and polycrystalline TiOx films during the voltage sweep account for the memory switching and threshold switching properties in the device.

  12. New ferrimagnetic biocomposite film based in collagen and yttrium iron garnet

    Directory of Open Access Journals (Sweden)

    2010-12-01

    Full Text Available In recent years a great interest in the study of the association of magnetic with biological material for bioapplications has been observed in the literature. This work analyses the development of new magnetic biocomposite films from a magnetic ferrite and a biopolymer. Magnetic and dielectric properties of Y3Fe5O12 (YIG/collagen composite films were studied as a function of the YIG concentration. This biocomposite was also characterized by Infrared Spectroscopy (IR, Thermal Analysis (DSC and TG and scanning electron microspcopic (SEM methods. The magnetization and dielectric measurements were performed at room temperature. The results demonstrated that ferrimagnetic garnet (YIG and collagen (Col can be used to obtain a homogeneous composite. All the composite films showed a ferromagnetic behavior and they were characterized as a soft magnet material. These results show that Col-YIG biocomposites are biological films with magnetic properties that can be employed as a versatile performance materials, due to their flexible dielectric and magnetic features. They could be used as electronic devices in biological applications.

  13. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  14. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  15. Characterization of the effective electrostriction coefficients in ferroelectric thin films

    Science.gov (United States)

    Kholkin, A. L.; Akdogan, E. K.; Safari, A.; Chauvy, P.-F.; Setter, N.

    2001-06-01

    Electromechanical properties of a number of ferroelectric films including PbZrxTi1-xO3(PZT), 0.9PbMg1/3Nb2/3O3-0.1PbTiO3(PMN-PT), and SrBi2Ta2O9(SBT) are investigated using laser interferometry combined with conventional dielectric measurements. Effective electrostriction coefficients of the films, Qeff, are determined using a linearized electrostriction equation that couples longitudinal piezoelectric coefficient, d33, with the polarization and dielectric constant. It is shown that, in PZT films, electrostriction coefficients slightly increase with applied electric field, reflecting the weak contribution of non-180° domains to piezoelectric properties. In contrast, in PMN-PT and SBT films electrostriction coefficients are field independent, indicating the intrinsic nature of the piezoelectric response. The experimental values of Qeff are significantly smaller than those of corresponding bulk materials due to substrate clamping and possible size effects. Electrostriction coefficients of PZT layers are shown to depend strongly on the composition and preferred orientation of the grains. In particular, Qeff of (100) textured rhombohedral films (x=0.7) is significantly greater than that of (111) layers. Thus large anisotropy of the electrostrictive coefficients is responsible for recently observed large piezoelectric coefficients of (100) textured PZT films. Effective electrostriction coefficients obtained by laser interferometry allow evaluation of the electromechanical properties of ferroelectric films based solely on the dielectric parameters and thus are very useful in the design and fabrication of microsensors and microactuators.

  16. Facile synthesis of graphene on dielectric surfaces using a two-temperature reactor CVD system

    International Nuclear Information System (INIS)

    Zhang, C; Man, B Y; Yang, C; Jiang, S Z; Liu, M; Chen, C S; Xu, S C; Sun, Z C; Gao, X G; Chen, X J

    2013-01-01

    Direct deposition of graphene on a dielectric substrate is demonstrated using a chemical vapor deposition system with a two-temperature reactor. The two-temperature reactor is utilized to offer sufficient, well-proportioned floating Cu atoms and to provide a temperature gradient for facile synthesis of graphene on dielectric surfaces. The evaporated Cu atoms catalyze the reaction in the presented method. C atoms and Cu atoms respectively act as the nuclei for forming graphene film in the low-temperature zone and the zones close to the high-temperature zones. A uniform and high-quality graphene film is formed in an atmosphere of sufficient and well-proportioned floating Cu atoms. Raman spectroscopy, scanning electron microscopy and atomic force microscopy confirm the presence of uniform and high-quality graphene. (paper)

  17. Electrical characteristics of SrTiO{sub 3}/Al{sub 2}O{sub 3} laminated film capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Peng, Yong; Yao, Manwen, E-mail: yaomw@tongji.edu.cn; Chen, Jianwen; Xu, Kaien; Yao, Xi [Functional Materials Research Laboratory, School of Materials Science and Engineering, Tongji University, Shanghai 200092 (China)

    2016-07-07

    The electrical characteristics of SrTiO{sub 3}/Al{sub 2}O{sub 3} (160 nm up/90 nm down) laminated film capacitors using the sol-gel process have been investigated. SrTiO{sub 3} is a promising and extensively studied high-K dielectric material, but its leakage current property is poor. SrTiO{sub 3}/Al{sub 2}O{sub 3} laminated films can effectively suppress the demerits of pure SrTiO{sub 3} films under low electric field, but the leakage current value reaches to 0.1 A/cm{sup 2} at higher electric field (>160 MV/m). In this study, a new approach was applied to reduce the leakage current and improve the dielectric strength of SrTiO{sub 3}/Al{sub 2}O{sub 3} laminated films. Compared to laminated films with Au top electrodes, dielectric strength of laminated films with Al top electrodes improves from 205 MV/m to 322 MV/m, simultaneously the leakage current maintains the same order of magnitude (10{sup −4} A/cm{sup 2}) until the breakdown occurs. The above electrical characteristics are attributed to the anodic oxidation reaction in origin, which can repair the defects of laminated films at higher electric field. The anodic oxidation reactions have been confirmed by the corresponding XPS measurement and the cross sectional HRTEM analysis. This work provides a new approach to fabricate dielectrics with high dielectric strength and low leakage current.

  18. Enhanced dielectric nonlinearity in epitaxial Pb0.92La0.08Zr0.52Ti0.48O3 thin films

    International Nuclear Information System (INIS)

    Ma, Chunrui; Wu, Judy; Ma, Beihai; Mi, Shao-Bo; Liu, Ming

    2014-01-01

    High quality c-axis oriented epitaxial Pb 0.92 La 0.08 Zr 0.52 Ti 0.48 O 3 films were fabricated using pulsed laser deposition on (001) LaAlO 3 substrates with conductive LaNiO 3 buffers. Besides confirmation of the in-plane and out-of-plane orientations using X-ray diffraction, transmission electron microscopy study has revealed columnar structure across the film thickness with column width around 100 nm. Characterization of ferroelectric properties was carried out in comparison with polycrystalline Pb 0.92 La 0.08 Zr 0.52 Ti 0.48 O 3 films to extract the effect of epitaxial growth. It is found that the ratio between the irreversible Rayleigh parameter and reversible parameter increased up to 0.028 cm/kV at 1 kHz on epitaxial samples, which is more than twice of that on their polycrystalline counterparts. While this ratio decreased to 0.022 cm/kV with increasing frequency to100 kHz, a much less frequency dependence was observed as compared to the polycrystalline case. The epitaxial Pb 0.92 La 0.08 Zr 0.52 Ti 0.48 O 3 films exhibited a higher mobility of domain wall and the higher extrinsic contribution to the dielectric properties, as well as reduced density of defects, indicating that it is promising for tunable and low power consumption devices

  19. Effect of Addition of Colloidal Silica to Films of Polyimide, Polyvinylpyridine, Polystyrene, and Polymethylmethacrylate Nano-Composites

    OpenAIRE

    Abdalla, Soliman; Al-Marzouki, Fahad; Obaid, Abdullah; Gamal, Salah

    2016-01-01

    Nano-composite films have been the subject of extensive work for developing the energy-storage efficiency of electrostatic capacitors. Factors such as polymer purity, nanoparticle size, and film morphology drastically affect the electrostatic efficiency of the dielectric material that forms the insulating film between the conductive electrodes of a capacitor. This in turn affects the energy storage performance of the capacitor. In the present work, we have studied the dielectric properties of...

  20. Processing of Dielectric Optical Coatings by Nanosecond and Femtosecond UV Laser Ablation

    International Nuclear Information System (INIS)

    Ihlemann, J.; Bekesi, J.; Klein-Wiele, J.H.; Simon, P.

    2008-01-01

    Micro processing of dielectric optical coatings by UV laser ablation is demonstrated. Excimer laser ablation at deep UV wavelengths (248 nm, 193 nm) is used for the patterning of thin oxide films or layer stacks. The layer removal over extended areas as well as sub-μm-structuring is possible. The ablation of SiO2, Al2O3, HfO2, and Ta2O5 layers and layer systems has been investigated. Due to their optical, chemical, and thermal stability, these inorganic film materials are well suited for optical applications, even if UV-transparency is required. Transparent patterned films of SiO2 are produced by patterning a UV-absorbing precursor SiOx suboxide layer and oxidizing it afterwards to SiO2. In contrast to laser ablation of bulk material, in the case of thin films, the layer-layer or layer-substrate boundaries act as predetermined end points, so that precise depth control and a very smooth surface can be achieved. For large area ablation, nanosecond lasers are well suited; for patterning with submicron resolution, femtosecond excimer lasers are applied. Thus the fabrication of optical elements like dielectric masks, pixelated diffractive elements, and gratings can be accomplished.

  1. Optical properties of gold films and the Casimir force

    International Nuclear Information System (INIS)

    Svetovoy, V. B.; Zwol, P. J. van; Palasantzas, G.; De Hosson, J. Th. M.

    2008-01-01

    Precise optical properties of metals are very important for accurate prediction of the Casimir force acting between two metallic plates. Therefore we measured ellipsometrically the optical responses of Au films in a wide range of wavelengths from 0.14 to 33 μm. The films at various thicknesses were deposited at different conditions on silicon or mica substrates. Considerable variation of the frequency dependent dielectric function from sample to sample was found. Detailed analysis of the dielectric functions was performed to check the Kramers-Kronig consistency, and extract the Drude parameters of the films. It was found that the plasma frequency varies in the range from 6.8 to 8.4 eV. It is suggested that this variation is related with the film density. X-ray reflectivity measurements support qualitatively this conclusion. The Casimir force is evaluated for the dielectric functions corresponding to our samples, and for that typically used in the precise prediction of the force. The force for our films was found to be 5%-14% smaller at a distance of 100 nm between the plates. Noise in the optical data is responsible for the force variation within 1%. It is concluded that prediction of the Casimir force between metals with a precision better than 10% must be based on the material optical response measured from visible to mid-infrared range

  2. Analysis of structural and optical properties of annealed fullerene thin films

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; Gadallah, A.-S.; Atta Khedr, M.; Afify, H. A.

    2015-08-01

    Fullerene thin films were thermally deposited onto different substrates. The films annealed at 523 K for 10 h. X-ray diffraction technique was used to examine the structure of the films. The morphology of films was examined by field emission scanning electron microscopy. Fourier transform infrared spectra were recorded in wavenumber range 400-2000 cm-1. The optical characteristics were analyzed using UV- Vis-NIR spectrophotometric measurements in the spectral range 200-2500 nm. The refractive index and extinction coefficient were determined. Some dispersion parameters were calculated such as single oscillator energy, dispersion energy, dielectric constant at high frequency and lattice dielectric constant. As well as, the nonlinear optical susceptibility χ(3) and nonlinear refractive index n2 were determined.

  3. Electrodynamic properties of porous PZT-Pt films at terahertz frequency range

    Energy Technology Data Exchange (ETDEWEB)

    Komandin, Gennady A.; Porodinkov, Oleg E.; Spektor, Igor E.; Volkov, Alexander A. [Prokhorov General Physics Institute, Russian Academy of Sciences, Moscow (Russian Federation); Vorotilov, Konstantin A.; Seregin, Dmitry S.; Sigov, Alexander S. [Moscow Technological University (MIREA), Moscow (Russian Federation)

    2017-01-15

    Electrodynamics of Si-SiO{sub 2}-TiO{sub 2}-Pt-PZT heterostructures is studied in the frequency range from 5 to 5000 cm{sup -1} by monochromatic BWO (backward wave oscillator) and infrared Fourier-transform spectroscopy techniques to derive the dielectric characteristics of the sol-gel porous ferroelectric PbZr{sub 0.48}Ti{sub 0.52}O{sub 3} films. Broad frequency band dielectric response of PZT films with different density is constructed using the oscillator dispersion models. The main contribution to the film permittivity is found to form at frequencies below 100 cm{sup -1} depending strongly and non-linearly on the film medium density. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Solid thin film materials for use in thin film charge-coupled devices

    International Nuclear Information System (INIS)

    Lynch, S.J.

    1983-01-01

    Solid thin films deposited by vacuum deposition were evaluated to ascertain their effectiveness for use in the manufacturing of charge-coupled devices (CCDs). Optical and electrical characteristics of tellurium and Bi 2 Te 3 solid thin films were obtained in order to design and to simulate successfully the operation of thin film (TF) CCDs. In this article some of the material differences between single-crystal material and the island-structured thin film used in TFCCDs are discussed. The electrical parameters were obtained and tabulated, e.g. the mobility, conductivity, dielectric constants, permittivity, lifetime of holes and electrons in the thin films and drift diffusion constants. The optical parameters were also measured and analyzed. After the design was complete, experimental TFCCDs were manufactured and were successfully operated utilizing the aforementioned solid thin films. (Auth.)

  5. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    Science.gov (United States)

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  6. Electrowetting Performances of Novel Fluorinated Polymer Dielectric Layer Based on Poly(1H,1H,2H,2H-perfluoroctylmethacrylate Nanoemulsion

    Directory of Open Access Journals (Sweden)

    Jiaxin Hou

    2017-06-01

    Full Text Available In electrowetting devices, hydrophobic insulating layer, namely dielectric layer, is capable of reversibly switching surface wettability through applied electric field. It is critically important but limited by material defects in dielectricity, reversibility, film forming, adhesiveness, price and so on. To solve this key problem, we introduced a novel fluorinated polyacrylate—poly(1H,1H,2H,2H-perfluoroctylmethacrylate (PFMA to construct micron/submicron-scale dielectric layer via facile spray coating of nanoemulsion for replacing the most common Teflon AF series. All the results illustrated that, continuous and dense PFMA film with surface relief less than 20 nm was one-step fabricated at 110 °C, and exhibited much higher static water contact angle of 124°, contact angle variation of 42°, dielectric constant of about 2.6, and breakdown voltage of 210 V than Teflon AF 1600. Particularly, soft and highly compatible polyacrylate mainchain assigned five times much better adhesiveness than common adhesive tape, to PFMA layer. As a promising option, PFMA dielectric layer may further facilitate tremendous development of electrowetting performances and applications.

  7. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  8. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  9. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  10. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  11. Low frequency ac conduction and dielectric relaxation in poly(N ...

    Indian Academy of Sciences (India)

    The ac conductivity and dielectric constant of poly(N-methyl pyrrole) thin films have been investigated in the temperature range 77–350 K and in the frequency range 102–106 Hz. The well defined loss peaks have been observed in the temperature region where measured ac conductivity approaches dc conductivity.

  12. Atmospheric pressure dielectric barrier discharges for sterilization and surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Chin, O. H.; Lai, C. K.; Choo, C. Y.; Wong, C. S.; Nor, R. M. [Plasma Technology Research Centre, Physics Department, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia); Thong, K. L. [Microbiology Division, Institute of Biological Sciences, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2015-04-24

    Atmospheric pressure non-thermal dielectric barrier discharges can be generated in different configurations for different applications. For sterilization, a parallel-plate electrode configuration with glass dielectric that discharges in air was used. Gram-negative bacteria (Escherichia coli and Salmonella enteritidis) and Gram-positive bacteria (Bacillus cereus) were successfully inactivated using sinusoidal high voltage of ∼15 kVp-p at 8.5 kHz. In the surface treatment, a hemisphere and disc electrode arrangement that allowed a plasma jet to be extruded under controlled nitrogen gas flow (at 9.2 kHz, 20 kVp-p) was applied to enhance the wettability of PET (Mylar) film.

  13. Mixed 2D molecular systems: Mechanic, thermodynamic and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Beno, Juraj [Department of Physics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 812 19-SK Bratislava (Slovakia); Weis, Martin [Department of Physics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 812 19-SK Bratislava (Slovakia)], E-mail: Martin.Weis@stuba.sk; Dobrocka, Edmund [Department of Physics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 812 19-SK Bratislava (Slovakia); Institute of Electrical Engineering, Slovak Academy of Sciences, Dubravska cesta 9, 841 04-SK Bratislava (Slovakia); Hasko, Daniel [International Laser Centre, Ilkovicova 3, 812 19-SK Bratislava (Slovakia)

    2008-08-15

    Study of Langmuir monolayers consisting of stearic acid (SA) and dipalmitoylphosphatidylcholine (DPPC) molecules was done by surface pressure-area isotherms ({pi}-A), the Maxwell displacement current (MDC) measurement, X-ray reflectivity (XRR) and atomic force microscopy (AFM) to investigate the selected mechanic, thermodynamic and dielectric properties based on orientational structure of monolayers. On the base of {pi}-A isotherms analysis we explain the creation of stable structures and found optimal monolayer composition. The dielectric properties represented by MDC generated monolayers were analyzed in terms of excess dipole moment, proposing the effect of dipole-dipole interaction. XRR and AFM results illustrate deposited film structure and molecular ordering.

  14. Research Update: Polyimide/CaCu3Ti4O12 nanofiber functional hybrid films with improved dielectric properties

    Directory of Open Access Journals (Sweden)

    Yang Yang

    2013-11-01

    Full Text Available This work reports the excellent dielectric properties of polyimide (PI embedded with CaCu3Ti4O12 (CCTO nanofibers. The dielectric behaviors were investigated over a frequency of 100 Hz–1 MHz. It is shown that embedding CCTO nanofibers with high aspect ratio (67 is an effective means to enhance the dielectric permittivity and reduce the percolation threshold. The dielectric permittivity of PI/CCTO nanofiber composites is 85 with 1.5 vol.% loading of filler, also the dielectric loss is only 0.015 at 100 Hz. Monte Carlo simulation was used to investigate the percolation threshold of CCTO nanofibers reinforced polyimide matrix by using excluded volume theory and soft, hard-core models. The results are in good agreement with the percolation theory and the hard-core model can well explain the percolation phenomena in PI/CCTO nanofiber composites. The dielectric properties of the composites will meet the practical requirements for the application in high dielectric constant capacitors and high energy density materials.

  15. Dielectric properties of composite based on ferroelectric copolymer of poly(vinylidene fluoride-trifluoroethylene and ferroelectric ceramics of barium lead zirconate titanate

    Directory of Open Access Journals (Sweden)

    A. V. Solnyshkin

    2017-10-01

    Full Text Available A study of dielectric properties of composite films on the base of poly(vinylidene fluoride-trifluoroethylene copolymer P(VDF-TrFE and ferroelectric ceramics of barium lead zirconate titanate (BPZT solid solution is presented in this work. The composite films containing up to 50 vol.% of BPZT grains with size ∼1μm were prepared by the solvent cast method. Frequency dependences of real and imaginary components of the complex permittivity were determined. The concentration dependence of the dielectric constant was discussed.

  16. Nanomechanical probing of thin-film dielectric elastomer transducers

    Science.gov (United States)

    Osmani, Bekim; Seifi, Saman; Park, Harold S.; Leung, Vanessa; Töpper, Tino; Müller, Bert

    2017-08-01

    Dielectric elastomer transducers (DETs) have attracted interest as generators, actuators, sensors, and even as self-sensing actuators for applications in medicine, soft robotics, and microfluidics. Their performance crucially depends on the elastic properties of the electrode-elastomer sandwich structure. The compressive displacement of a single-layer DET can be easily measured using atomic force microscopy (AFM) in the contact mode. While polymers used as dielectric elastomers are known to exhibit significant mechanical stiffening for large strains, their mechanical properties when subjected to voltages are not well understood. To examine this effect, we measured the depths of 400 nanoindentations as a function of the applied electric field using a spherical AFM probe with a radius of (522 ± 4) nm. Employing a field as low as 20 V/μm, the indentation depths increased by 42% at a load of 100 nN with respect to the field-free condition, implying an electromechanically driven elastic softening of the DET. This at-a-glance surprising experimental result agrees with related nonlinear, dynamic finite element model simulations. Furthermore, the pull-off forces rose from (23.0 ± 0.4) to (49.0 ± 0.7) nN implying a nanoindentation imprint after unloading. This embossing effect is explained by the remaining charges at the indentation site. The root-mean-square roughness of the Au electrode raised by 11% upon increasing the field from zero to 12 V/μm, demonstrating that the electrode's morphology change is an undervalued factor in the fabrication of DET structures.

  17. Determining the thermal expansion coefficient of thin films for a CMOS MEMS process using test cantilevers

    International Nuclear Information System (INIS)

    Cheng, Chao-Lin; Fang, Weileun; Tsai, Ming-Han

    2015-01-01

    Many standard CMOS processes, provided by existing foundries, are available. These standard CMOS processes, with stacking of various metal and dielectric layers, have been extensively applied in integrated circuits as well as micro-electromechanical systems (MEMS). It is of importance to determine the material properties of the metal and dielectric films to predict the performance and reliability of micro devices. This study employs an existing approach to determine the coefficients of thermal expansion (CTEs) of metal and dielectric films for standard CMOS processes. Test cantilevers with different stacking of metal and dielectric layers for standard CMOS processes have been designed and implemented. The CTEs of standard CMOS films can be determined from measurements of the out-of-plane thermal deformations of the test cantilevers. To demonstrate the feasibility of the present approach, thin films prepared by the Taiwan Semiconductor Manufacture Company 0.35 μm 2P4M CMOS process are characterized. Eight test cantilevers with different stacking of CMOS layers and an auxiliary Si cantilever on a SOI wafer are fabricated. The equivalent elastic moduli and CTEs of the CMOS thin films including the metal and dielectric layers are determined, respectively, from the resonant frequency and static thermal deformation of the test cantilevers. Moreover, thermal deformations of cantilevers with stacked layers different to those of the test beams have been employed to verify the measured CTEs and elastic moduli. (paper)

  18. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  19. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  20. Far-infrared reflection-absorption spectroscopy of amorphous and polycrystalline gallium arsenide films

    International Nuclear Information System (INIS)

    Gregory, J.R.

    1992-01-01

    We have reported far-infrared reflection absorption spectra (30-320CM -1 ) at 30 and 310K for nine films of non-stoichiometric GaAs. The FIRRAS measurements were performed using the grazing incidence FIR double-modulation spectroscopy technique first described by DaCosta and Coleman. The films were fabricated by molecular beam deposition on metallized substrates for two As/Ga molecular beam flux ratios. The films were characterized by depth profilometry, IRAS, XRD, and x-ray microprobe analysis. Film thicknesses ranged from 800 to 5800 angstrom and compositions were 45-50% As for a MB flux ratio of 0.29 and 60-70% As for a ratio of 1.12. FIRRAS measurements were made and characterizations performed for as-deposited films and for 5 hour anneals at 473, 573, 673 and 723 degrees C. Vibrational spectra of the crystallized films were interpreted in terms of the exact reflectivity of a thin dielectric film on a conducting substrate, using a classical Lorentzian dielectric function for the response of the film. Resonances appearing in the open-quote forbidden close-quote region between the TO and LO frequencies were modelled with an effective medium approximation and are interpreted as arising from small-scale surface roughness. The behavior of the amorphous film spectra were examined within two models. The effective force constant model describes the variation of the reflection-absorption maxima with measured crystallite size in terms of the effective vibration frequency of 1-D atomic chains having force constants distributed according to the parameters of the crystalline-to-amorphous relaxation length and the crystalline to amorphous force constant ratio. The dielectric function continuum model uses the relaxation of the crystal momentum selection rule to calculate the reflection-absorption spectrum based on a dielectric function in which the oscillator strength is the normalized product of a constant dipole strength and the smoothed vibrational density of states