WorldWideScience

Sample records for sapphire

  1. High performance sapphire windows

    Science.gov (United States)

    Bates, Stephen C.; Liou, Larry

    1993-02-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  2. Research Progress and Development of Sapphire Fiber Sensor

    Directory of Open Access Journals (Sweden)

    Guochang ZHAO

    2014-07-01

    Full Text Available Sapphire fiber thermometers have become a new potential option in the field of high-temperature measurements. Recent research progress of sapphire fiber sensors is summarized; operational principles, advantages, disadvantages, and applications of sapphire fiber sensors are introduced. Research has shown that sapphire fiber sensors can be used to accurately measure very high temperatures in harsh environments and has been widely applied in fields such as aviation, metallurgy, the chemical industry, energy, and other high temperature measurement areas. Sapphire optical fiber temperature measurement technology will move toward miniaturization, intelligence following the advances in materials, micro-fabrication and communication technologies.

  3. Neutron Transmission of Single-crystal Sapphire Filters

    Science.gov (United States)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-05-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum cystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons.

  4. Neutron transmission of single-crystal sapphire filters

    International Nuclear Information System (INIS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-01-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula fits and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum crystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons. (author)

  5. Neutron transmission of single-crystal sapphire filters

    International Nuclear Information System (INIS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2004-01-01

    A simple additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for mono-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula fits and experimental data. We discuss the use of sapphire single-crystal as a thermal neutron filter in terms of the optimum crystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons

  6. Reduced cost and improved figure of sapphire optical components

    Science.gov (United States)

    Walters, Mark; Bartlett, Kevin; Brophy, Matthew R.; DeGroote Nelson, Jessica; Medicus, Kate

    2015-10-01

    Sapphire presents many challenges to optical manufacturers due to its high hardness and anisotropic properties. Long lead times and high prices are the typical result of such challenges. The cost of even a simple 'grind and shine' process can be prohibitive. The high precision surfaces required by optical sensor applications further exacerbate the challenge of processing sapphire thereby increasing cost further. Optimax has demonstrated a production process for such windows that delivers over 50% time reduction as compared to traditional manufacturing processes for sapphire, while producing windows with less than 1/5 wave rms figure error. Optimax's sapphire production process achieves significant improvement in cost by implementation of a controlled grinding process to present the best possible surface to the polishing equipment. Following the grinding process is a polishing process taking advantage of chemical interactions between slurry and substrate to deliver excellent removal rates and surface finish. Through experiments, the mechanics of the polishing process were also optimized to produce excellent optical figure. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. Through specially developed polishing slurries, the peak-to-valley figure error of spherical sapphire parts is reduced by over 80%.

  7. Sapphire capillary interstitial irradiators for laser medicine

    Science.gov (United States)

    Shikunova, I. A.; Dolganova, I. N.; Dubyanskaya, E. N.; Mukhina, E. E.; Zaytsev, K. I.; Kurlov, V. N.

    2018-04-01

    In this paper, we demonstrate instruments for laser radiation delivery based on sapphire capillary needles. Such sapphire irradiators (introducers) can be used for various medical applications, such as photodynamic therapy, laser hyperthermia, laser interstitial thermal therapy, and ablation of tumors of various organs. Unique properties of sapphire allow for effective redistribution of the heat, generated in biological tissues during their exposure to laser radiation. This leads to homogeneous distribution of the laser irradiation around the needle, and lower possibility of formation of the overheating focuses, as well as the following non-transparent thrombi.

  8. Sapphire: A kinking nonlinear elastic solid

    Science.gov (United States)

    Basu, S.; Barsoum, M. W.; Kalidindi, S. R.

    2006-03-01

    Kinking nonlinear elastic (KNE) solids are a recently identified large class of solids that deform fully reversibly by the formation of dislocation-based kink bands [Barsoum et al. Phys. Rev. Lett. 92, 255508 (2004)]. We further conjectured that a high c/a ratio-that ensures that only basal slip is operative-is a sufficient condition for a solid to be KNE. The c/a ratio of sapphire is 2.73 and thus, if our conjecture is correct, it should be a KNE solid. Herein by repeatedly loading-up to 30 times-the same location of sapphire single crystals of two orientations-A and C-with a 1 μm radius spherical nanoindenter, followed by atomic force microscopy, we showed that sapphire is indeed a KNE solid. After pop-ins of the order of 100 nm, the repeated loadings give rise to fully reversible, reproducible hysteresis loops wherein the energy dissipated per unit volume per cycle Wd is of the order of 0.5 GJ/m3. Wd is due to the back and fro motion of the dislocations making up the incipient kink bands that are fully reversible. The results presented here strongly suggest that-like in graphite and mica-kink bands play a more critical role in the room temperature constrained deformation of sapphire than had hitherto been appreciated. Our interpretation is also in agreement with, and can explain most, recent nanoindentation results on sapphire.

  9. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  10. Oleophobic properties of the step-and-terrace sapphire surface

    Energy Technology Data Exchange (ETDEWEB)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Kanevsky, V. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation)

    2017-03-15

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical–mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of the surface. The results are analyzed using the Ventsel–Deryagin homogeneous wetting model.

  11. Surface study of irradiated sapphires from Phrae Province, Thailand using AFM

    Science.gov (United States)

    Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.

    2017-09-01

    The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.

  12. Leveraging Python Interoperability Tools to Improve Sapphire's Usability

    Energy Technology Data Exchange (ETDEWEB)

    Gezahegne, A; Love, N S

    2007-12-10

    The Sapphire project at the Center for Applied Scientific Computing (CASC) develops and applies an extensive set of data mining algorithms for the analysis of large data sets. Sapphire's algorithms are currently available as a set of C++ libraries. However many users prefer higher level scripting languages such as Python for their ease of use and flexibility. In this report, we evaluate four interoperability tools for the purpose of wrapping Sapphire's core functionality with Python. Exposing Sapphire's functionality through a Python interface would increase its usability and connect its algorithms to existing Python tools.

  13. Sapphire: Canada's Answer to Space-Based Surveillance of Orbital Objects

    Science.gov (United States)

    Maskell, P.; Oram, L.

    The Canadian Department of National Defence is in the process of developing the Canadian Space Surveillance System (CSSS) as the main focus of the Surveillance of Space (SofS) Project. The CSSS consists of two major elements: the Sapphire System and the Sensor System Operations Centre (SSOC). The space segment of the Sapphire System is comprised of the Sapphire Satellite - an autonomous spacecraft with an electro-optical payload which will act as a contributing sensor to the United States (US) Space Surveillance Network (SSN). It will operate in a circular, sunsynchronous orbit at an altitude of approximately 750 kilometers and image a minimum of 360 space objects daily in orbits ranging from 6,000 to 40,000 kilometers in altitude. The ground segment of the Sapphire System is composed of a Spacecraft Control Center (SCC), a Satellite Processing and Scheduling Facility (SPSF), and the Sapphire Simulator. The SPSF will be responsible for data transmission, reception, and processing while the SCC will serve to control and monitor the Sapphire Satellite. Surveillance data will be received from Sapphire through two ground stations. Following processing by the SPSF, the surveillance data will then be forwarded to the SSOC. The SSOC will function as the interface between the Sapphire System and the US Joint Space Operations Center (JSpOC). The JSpOC coordinates input from various sensors around the world, all of which are a part of the SSN. The SSOC will task the Sapphire System daily and provide surveillance data to the JSpOC for correlation with data from other SSN sensors. This will include orbital parameters required to predict future positions of objects to be tracked. The SSOC receives daily tasking instructions from the JSpOC to determine which objects the Sapphire spacecraft is required to observe. The advantage of this space-based sensor over ground-based telescopes is that weather and time of day are not factors affecting observation. Thus, space-based optical

  14. Spatial chirp in Ti:sapphire multipass amplifier

    International Nuclear Information System (INIS)

    Li Wenkai; Lu Jun; Li Yanyan; Guo Xiaoyang; Wu Fenxiang; Yu Linpeng; Wang Pengfei; Xu Yi; Leng Yuxin

    2017-01-01

    The spatial chirp generated in the Ti:sapphire multipass amplifier is numerically investigated based on the one-dimensional (1D) and two-dimensional (2D) Frantz–Nodvik equations. The simulation indicates that the spatial chirp is induced by the spatially inhomogeneous gain, and it can be almost eliminated by utilization of proper beam profiles and spot sizes of the signal and pump pulses, for example, the pump pulse has a top-hatted beam profile and the signal pulse has a super-Gaussian beam profile with a relatively larger spot size. In this way, a clear understanding of spatial chirp mechanisms in the Ti:sapphire multipass amplifier is proposed, therefore we can effectively almost eliminate the spatial chirp and improve the beam quality of a high-power Ti:sapphire chirped pulse amplifier system. (paper)

  15. A peek into the history of sapphire crystal growth

    Science.gov (United States)

    Harris, Daniel C.

    2003-09-01

    After the chemical compositions of sapphire and ruby were unraveled in the middle of the 19th century, chemists set out to grow artificial crystals of these valuable gemstones. In 1885 a dealer in Geneva began to sell ruby that is now believed to have been created by flame fusion. Gemnologists rapidly concluded that the stones were artificial, but the Geneva ruby stimulated A. V. L. Verneuil in Paris to develop a flame fusion process to produce higher quality ruby and sapphire. By 1900 there was brisk demand for ruby manufactured by Verneuil's method, even though Verneuil did not publicly announce his work until 1902 and did not publish details until 1904. The Verneuil process was used with little alteration for the next 50 years. From 1932-1953, S. K. Popov in the Soviet Union established a capability for manufacturing high quality sapphire by the Verneuil process. In the U.S., under government contract, Linde Air Products Co. implemented the Verneuil process for ruby and sapphire when European sources were cut off during World War II. These materials were essential to the war effort for jewel bearings in precision instruments. In the 1960s and 1970s, the Czochralski process was implemented by Linde and its successor, Union Carbide, to make higher crystal quality material for ruby lasers. Stimulated by a government contract for structural fibers in 1966, H. LaBelle invented edge-defined film-fed growth (EFG). The Saphikon company, which is currently owned by Saint-Gobain, evolved from this effort. Independently and simultaneously, Stepanov developed edge-defined film-fed growth in the Soviet Union. In 1967 F. Schmid and D. Viechnicki at the Army Materials Research Lab grew sapphire by the heat exchanger method (HEM). Schmid went on to establish Crystal Systems, Inc. around this technology. Rotem Industries, founded in Israel in 1969, perfected the growth of sapphire hemispheres and near-net-shape domes by gradient solidification. In the U.S., growth of near

  16. Nanostructured sapphire optical fiber for sensing in harsh environments

    Science.gov (United States)

    Chen, Hui; Liu, Kai; Ma, Yiwei; Tian, Fei; Du, Henry

    2017-05-01

    We describe an innovative and scalable strategy of transforming a commercial unclad sapphire optical fiber to an allalumina nanostructured sapphire optical fiber (NSOF) that overcomes decades-long challenges faced in the field of sapphire fiber optics. The strategy entails fiber coating with metal Al followed by subsequent anodization to form anodized alumina oxide (AAO) cladding of highly organized pore channel structure. We show that Ag nanoparticles entrapped in AAO show excellent structural and morphological stability and less susceptibility to oxidation for potential high-temperature surface-enhanced Raman Scattering (SERS). We reveal, with aid of numerical simulations, that the AAO cladding greatly increases the evanescent-field overlap both in power and extent and that lower porosity of AAO results in higher evanescent-field overlap. This work has opened the door to new sapphire fiber-based sensor design and sensor architecture.

  17. Temperature-modulated annealing of c-plane sapphire for long-range-ordered atomic steps

    International Nuclear Information System (INIS)

    Yatsui, Takashi; Kuribara, Kazunori; Sekitani, Tsuyoshi; Someya, Takao; Yoshimoto, Mamoru

    2016-01-01

    High-quality single-crystalline sapphire is used to prepare various semiconductors because of its thermal stability. Here, we applied the tempering technique, which is well known in the production of chocolate, to prepare a sapphire substrate. Surprisingly, we successfully realised millimetre-range ordering of the atomic step of the sapphire substrate. We also obtained a sapphire atomic step with nanometre-scale uniformity in the terrace width and atomic-step height. Such sapphire substrates will find applications in the preparation of various semiconductors and devices. (paper)

  18. Single-Crystal Sapphire Optical Fiber Sensor Instrumentation

    Energy Technology Data Exchange (ETDEWEB)

    Pickrell, Gary [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Scott, Brian [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Wang, Anbo [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Yu, Zhihao [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States)

    2013-12-31

    This report summarizes technical progress on the program “Single-Crystal Sapphire Optical Fiber Sensor Instrumentation,” funded by the National Energy Technology Laboratory of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. This project was completed in three phases, each with a separate focus. Phase I of the program, from October 1999 to April 2002, was devoted to development of sensing schema for use in high temperature, harsh environments. Different sensing designs were proposed and tested in the laboratory. Phase II of the program, from April 2002 to April 2009, focused on bringing the sensor technologies, which had already been successfully demonstrated in the laboratory, to a level where the sensors could be deployed in harsh industrial environments and eventually become commercially viable through a series of field tests. Also, a new sensing scheme was developed and tested with numerous advantages over all previous ones in Phase II. Phase III of the program, September 2009 to December 2013, focused on development of the new sensing scheme for field testing in conjunction with materials engineering of the improved sensor packaging lifetimes. In Phase I, three different sensing principles were studied: sapphire air-gap extrinsic Fabry-Perot sensors; intensity-based polarimetric sensors; and broadband polarimetric sensors. Black body radiation tests and corrosion tests were also performed in this phase. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. At the beginning of Phase II, in June 2004, the BPDI sensor was tested at the Wabash River coal gasifier

  19. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Order in nanometer thick intergranular films at Au-sapphire interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Baram, Mor [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel); Garofalini, Stephen H. [Department of Materials Science and Engineering, Rutgers University, Piscataway, NJ 08854-8065 (United States); Kaplan, Wayne D., E-mail: kaplan@tx.technion.ac.il [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel)

    2011-08-15

    Highlights: {yields} Au particles were equilibrated on (0 0 0 1) sapphire in the presence of anorthite. {yields} 1.2 nm thick equilibrium films (complexions) were formed at the Au-sapphire interfaces. {yields} Quantitative HRTEM was used to study the atomistic structure of the films. {yields} Structural order was observed in the 1.2 nm thick films adjacent to the sapphire crystal. {yields} This demonstrates that ordering is an intrinsic part of equilibrium intergranular films. - Abstract: In recent years extensive studies on interfaces have shown that {approx}1 nm thick intergranular films (IGF) exist at interfaces in different material systems, and that IGF can significantly affect the materials' properties. However, there is great deal of uncertainty whether such films are amorphous or partially ordered. In this study specimens were prepared from Au particles that were equilibrated on sapphire substrates in the presence of anorthite glass, leading to the formation of 1.2 nm thick IGF at the Au-sapphire interfaces. Site-specific cross-section samples were characterized using quantitative high resolution transmission electron microscopy to study the atomistic structure of the films. Order was observed in the 1.2 nm thick films adjacent to the sapphire crystal in the form of 'Ca cages', experimentally demonstrating that ordering is an intrinsic part of IGF, as predicted from molecular dynamics and diffuse interface theory.

  1. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    International Nuclear Information System (INIS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-01-01

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al_2O_3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  2. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Science.gov (United States)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  3. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  4. Spectroscopic properties for identifying sapphire samples from Ban Bo Kaew, Phrae Province, Thailand

    Science.gov (United States)

    Mogmued, J.; Monarumit, N.; Won-in, K.; Satitkune, S.

    2017-09-01

    Gemstone commercial is a high revenue for Thailand especially ruby and sapphire. Moreover, Phrae is a potential gem field located in the northern part of Thailand. The studies of spectroscopic properties are mainly to identify gemstone using advanced techniques (e.g. UV-Vis-NIR spectrophotometry, FTIR spectrometry and Raman spectroscopy). Typically, UV-Vis-NIR spectrophotometry is a technique to study the cause of color in gemstones. FTIR spectrometry is a technique to study the functional groups in gem-materials. Raman pattern can be applied to identify the mineral inclusions in gemstones. In this study, the natural sapphires from Ban Bo Kaew were divided into two groups based on colors including blue and green. The samples were analyzed by UV-Vis-NIR spectrophotometer, FTIR spectrometer and Raman spectroscope for studying spectroscopic properties. According to UV-Vis-NIR spectra, the blue sapphires show higher Fe3+/Ti4+ and Fe2+/Fe3+ absorption peaks than those of green sapphires. Otherwise, green sapphires display higher Fe3+/Fe3+ absorption peaks than blue sapphires. The FTIR spectra of both blue and green sapphire samples show the absorption peaks of -OH,-CH and CO2. The mineral inclusions such as ferrocolumbite and rutile in sapphires from this area were observed by Raman spectroscope. The spectroscopic properties of sapphire samples from Ban Bo Kaew, Phrae Province, Thailand are applied to be the specific evidence for gemstone identification.

  5. Interfacial reactions between sapphire and Ag–Cu–Ti-based active braze alloys

    International Nuclear Information System (INIS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2016-01-01

    The interfacial reactions between two commercially available Ag–Cu–Ti-based active braze alloys and sapphire have been studied. In separate experiments, Ag–35.3Cu–1.8Ti wt.% and Ag–26.7Cu–4.5Ti wt.% alloys have been sandwiched between pieces of R-plane orientated sapphire and heated in argon to temperatures between 750 and 900 °C for 1 min. The phases at the Ag–Cu–Ti/sapphire interfaces have been studied using selected area electron diffraction, energy dispersive X-ray spectroscopy and electron energy loss spectroscopy. Gradual and subtle changes at the Ag–Cu–Ti/sapphire interfaces were observed as a function of temperature, along with the formation of a transient phase that permitted wetting of the sapphire. Unequivocal evidence is shown that when the active braze alloys melt, titanium first migrates to the sapphire and reacts to dissolve up to ∼33 at.% oxygen, forming a nanometre-size polycrystalline layer with a chemical composition of Ti 2 O 1–x (x ≪ 1). Ti 3 Cu 3 O particles subsequently nucleate behind the Ti 2 O 1–x layer and grow to become a continuous micrometre-size layer, replacing the Ti 2 O 1–x layer. Finally at 845 °C, a nanometre-size γ-TiO layer forms on the sapphire to leave a typical interfacial structure of Ag–Cu/Ti 3 Cu 3 O/γ-TiO/sapphire consistent with that seen in samples of polycrystalline alumina joined to itself with these active braze alloys. These experimental observations have been used to establish a definitive bonding mechanism for the joining of sapphire with Ag–Cu alloys activated by small amounts of titanium.

  6. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science - Gems & Jewelry, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Chaiwai, C.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Wanthanachaisaeng, B. [Gems Enhancement Research Unit, Faculty of Gems, Burapha University, Chanthaburi Campus, Chanthaburi 22170 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2015-12-15

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al{sub 2}O{sub 3}) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  7. Ti:Sapphire waveguide lasers

    NARCIS (Netherlands)

    Pollnau, Markus; Pashinin, P.P.; Grivas, C.; Laversenne, L.; Wilkinson, J.S.; Eason, R.W.; Shepherd, D.P.

    2007-01-01

    Titanium-doped sapphire is one of the most prominent laser materials and is appreciated for its excellent heat conductivity and broadband gain spectrum, allowing for a wide wavelength tunability and generation of ultrashort pulses. As one of the hardest materials, it can also serve as a model system

  8. Reliability improvement methods for sapphire fiber temperature sensors

    Science.gov (United States)

    Schietinger, C.; Adams, B.

    1991-08-01

    Mechanical, optical, electrical, and software design improvements can be brought to bear in the enhancement of fiber-optic sapphire-fiber temperature measurement tool reliability in harsh environments. The optical fiber thermometry (OFT) equipment discussed is used in numerous process industries and generally involves a sapphire sensor, an optical transmission cable, and a microprocessor-based signal analyzer. OFT technology incorporating sensors for corrosive environments, hybrid sensors, and two-wavelength measurements, are discussed.

  9. Nonlinearity Mechanism and Correction of Sapphire Fiber Temperature Sensor on Blackbody Cavity

    Directory of Open Access Journals (Sweden)

    Tiejun Cao

    2014-06-01

    Full Text Available Based on the principle of blackbody radiation, sapphire optic fiber temperature sensor has been more widely used in recent years, and its temperature range is between 800 ~ 2000 oC, and the response time is in 10-2 magnitude, and transient temperature measurement can be high precision in harsh environments. Nonlinear constraints on sapphire fiber temperature sensor affect the accuracy and stability of the sensor. In order to solve the nonlinear problems which exist in the measurement, at first, the sapphire fiber optic temperature sensor temperature measurement principle and nonlinear generation mechanism are studied; secondly piecewise linear interpolation and spline interpolation linearization algorithm is designed with combining the nonlinear characteristics of sapphire optical fiber temperature sensor, and the program is designed on its linear and associated signal processing. Experimental results show that a good linearization of sapphire fiber optic temperature sensor can been achieved in this method.

  10. 'Sapphire' project. Objectives and outcomes

    International Nuclear Information System (INIS)

    Shkolnik, V.S.

    1997-01-01

    'Sapphire' Project contains the US assistance in purchasing/exporting 600 kg of highly enriched uranium from the State Holding Association 'Ulba' Uranium Plant, and compensatory equipment and service deliveries under the mutually concerted list. The compensatory payments were as separate projects in conformity with Kazakhstan enterprises needs, participation quota of which was determined by the Kazakhstan Government. Realization Milestones. Activity on Separate Projects: - basic 'Sapphire' part includes medical projects; - Kazakhstan Services were equipped with computers by the American International Development Agency for Taxation Services of Kazakhstan and by US Department of Energy for Monitoring preparation of Kazakhstan Atomic energy Agency. - 7 Research projects are being realized via the International Science and Technological Center; - export control. It has been realized as the equipment delivery under the concerted list; - equipping of nuclear materials accounting and control system at 'Ulba' Association enterprises

  11. Frequency-doubled diode laser for direct pumping of Ti:sapphire lasers

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2012-01-01

    . However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20...... fs are measured. These results open the opportunity of establishing diode laser pumped Ti:sapphire lasers for e.g. biophotonic applications like retinal optical coherence tomography or pumping of photonic crystal fibers for CARS microscopy.......A single-pass frequency doubled high-power tapered diode laser emitting nearly 1.3 W of green light suitable for direct pumping of Ti:sapphire lasers generating ultrashort pulses is demonstrated. The pump efficiencies reached 75 % of the values achieved with a commercial solid-state pump laser...

  12. Optimizing Ti:Sapphire laser for quantitative biomedical imaging

    Science.gov (United States)

    James, Jeemol; Thomsen, Hanna; Hanstorp, Dag; Alemán Hérnandez, Felipe Ademir; Rothe, Sebastian; Enger, Jonas; Ericson, Marica B.

    2018-02-01

    Ti:Sapphire lasers are powerful tools in the field of scientific research and industry for a wide range of applications such as spectroscopic studies and microscopic imaging where tunable near-infrared light is required. To push the limits of the applicability of Ti:Sapphire lasers, fundamental understanding of the construction and operation is required. This paper presents two projects, (i) dealing with the building and characterization of custom built tunable narrow linewidth Ti:Sapphire laser for fundamental spectroscopy studies; and the second project (ii) the implementation of a fs-pulsed commercial Ti:Sapphire laser in an experimental multiphoton microscopy platform. For the narrow linewidth laser, a gold-plated diffraction grating with a Littrow geometry was implemented for highresolution wavelength selection. We demonstrate that the laser is tunable between 700 to 950 nm, operating in a pulsed mode with a repetition rate of 1 kHz and maximum average output power around 350 mW. The output linewidth was reduced from 6 GHz to 1.5 GHz by inserting an additional 6 mm thick etalon. The bandwidth was measured by means of a scanning Fabry Perot interferometer. Future work will focus on using a fs-pulsed commercial Ti:Sapphire laser (Tsunami, Spectra physics), operating at 80 MHz and maximum average output power around 1 W, for implementation in an experimental multiphoton microscopy set up dedicated for biomedical applications. Special focus will be on controlling pulse duration and dispersion in the optical components and biological tissue using pulse compression. Furthermore, time correlated analysis of the biological samples will be performed with the help of time correlated single photon counting module (SPCM, Becker&Hickl) which will give a novel dimension in quantitative biomedical imaging.

  13. Oxidation states of Fe and Ti in blue sapphire

    International Nuclear Information System (INIS)

    Wongrawang, P; Wongkokua, W; Monarumit, N; Thammajak, N; Wathanakul, P

    2016-01-01

    X-ray absorption near-edge spectroscopy (XANES) can be used to study the oxidation state of a dilute system such as transition metal defects in solid-state samples. In blue sapphire, Fe and Ti are defects that cause the blue color. Inter-valence charge transfer (IVCT) between Fe 2+ and Ti 4+ has been proposed to describe the optical color’s origin. However, the existence of divalent iron cations has not been thoroughly investigated. Fluorescent XANES is therefore employed to study K-edge absorptions of Fe and Ti cations in various blue sapphire samples including natural, synthetic, diffused and heat-treated sapphires. All the samples showed an Fe absorption edge at 7124 eV, corresponding to the Fe 3+ state; and Ti at 4984 eV, corresponding to Ti 4+ . From these results, we propose Fe 3+ -Ti 4+ mixed acceptor states located at 1.75 eV and 2.14 eV above the valence band of corundum, that correspond to 710 nm and 580 nm bands of UV–vis absorption spectra, to describe the cause of the color of blue sapphire. (paper)

  14. Review and perspective: Sapphire optical fiber cladding development for harsh environment sensing

    Science.gov (United States)

    Chen, Hui; Buric, Michael; Ohodnicki, Paul R.; Nakano, Jinichiro; Liu, Bo; Chorpening, Benjamin T.

    2018-03-01

    The potential to use single-crystal sapphire optical fiber as an alternative to silica optical fibers for sensing in high-temperature, high-pressure, and chemically aggressive harsh environments has been recognized for several decades. A key technological barrier to the widespread deployment of harsh environment sensors constructed with sapphire optical fibers has been the lack of an optical cladding that is durable under these conditions. However, researchers have not yet succeeded in incorporating a high-temperature cladding process into the typical fabrication process for single-crystal sapphire fibers, which generally involves seed-initiated fiber growth from the molten oxide state. While a number of advances in fabrication of a cladding after fiber-growth have been made over the last four decades, none have successfully transitioned to a commercial manufacturing process. This paper reviews the various strategies and techniques for fabricating an optically clad sapphire fiber which have been proposed and explored in published research. The limitations of current approaches and future prospects for sapphire fiber cladding are discussed, including fabrication methods and materials. The aim is to provide an understanding of the past research into optical cladding of sapphire fibers and to assess possible material systems for future research on this challenging problem for harsh environment sensors.

  15. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  16. Use of sapphire as a neutron damage monitor for pressure vessel steels

    International Nuclear Information System (INIS)

    Pells, G.P.; Fudge, A.J.; Murphy, M.J.; Watt, S.

    1989-01-01

    Single crystal α-Al 2 O 3 (sapphire) has been neutron irradiated over a range of dose, dose rate and neutron energy spectra at temperatures from 60 to 310 0 C. Values of optical absorption at 400 nm, the peak of the aluminum vacancy absorption band, were plotted against damage dose expressed in terms of dpa of Al in sapphire obtained from measurements of induced radio-activity in activation foils irradiated with the sapphires and from calculation of the neutron energy spectrum at the irradiation position. The neutron energy spectrum was calculated using modern neutron transport computer codes and adjusted in the light of measurements obtained from multiple foil activation experiments. A simple response curve was obtained for all sapphires irradiated at temperatures between 220 to 310 0 C and for sapphires irradiated below 200 0 C which had been annealed at 290 0 C irrespective of dose rate or neutron beam energy spectrum. The single response curve for irradiations performed in a variety of neutron energy spectra validate the neutron energy spectrum computational procedures

  17. Neutron Transmission through Sapphire Crystals

    DEFF Research Database (Denmark)

    of simulations, in order to reproduce the transmission of cold neutrons through sapphire crystals. Those simulations were part of the effort of validating and improving the newly developed interface between the Monte-Carlo neutron transport code MCNP and the Monte Carlo ray-tracing code McStas....

  18. Investigation of the photoluminescence properties of Au/ZnO/sapphire and ZnO/Au/sapphire films by experimental study and electromagnetic simulation

    International Nuclear Information System (INIS)

    Zeng, Yong; Zhao, Yan; Jiang, Yijian

    2015-01-01

    Highlights: • Photoluminescent properties from Au/ZnO/sapphire and ZnO/Au/sapphire structures have been investigated. • The enhancement of UV intensity is a result of the enhanced electric field intensity of the 325 nm excitation light. • Electron transfer which induced by the local surface may be also account for the enhancement of UV emissions. • The suppression of the visible emissions might be due to the flowing of electrons in the defect states to the Au. - Abstract: Photoluminescent properties from Au/ZnO/sapphire and ZnO/Au/sapphire structures have been investigated. It is found that due to the co-interaction between the incident light and local surface plasmons, the ultraviolet (UV) emissions from the two structures were both enhanced and the visible emissions related to the defects were suppressed. By the means of electromagnetic simulation, it indicates that the enhancement of UV intensity is a result of the enhanced electric field intensity of the 325 nm excitation light, which is induced by localized surface plasmons resonance (LSPR). On the other hand, electron transfer which is induced by the local surface also account for the enhancement of UV emissions. The suppression of the visible emissions might be due to the flowing of electrons in the defect states to the Au, which caused the reduction of the electrons in the defect states

  19. High Temperature Testing with Sapphire Fiber White-Light Michelson Interferometers

    Science.gov (United States)

    Barnes, A.; Pedrazzani, J.; May, R.; Murphy, K.; Tran, T.; Coate, J.

    1996-01-01

    In the design of new aerospace materials, developmental testing is conducted to characterize the behavior of the material under severe environmental conditions of high stress, temperature, and vibration. But to test these materials under extreme conditions requires sensors that can perform in harsh environments. Current sensors can only monitor high temperature test samples using long throw instrumentation, but this is inherently less accurate than a surface mounted sensor, and provides no means for fabrication process monitoring. A promising alternative is the use of sapphire optical fiber sensors. Sapphire is an incredibly rugged material, being extremely hard (9 mhos), chemically inert, and having a melting temperature (over 2000 C). Additionally, there is a extensive background of optical fiber sensors upon which to draw for sapphire sensor configurations.

  20. Thermal healing of the sub-surface damage layer in sapphire

    International Nuclear Information System (INIS)

    Pinkas, Malki; Lotem, Haim; Golan, Yuval; Einav, Yeheskel; Golan, Roxana; Chakotay, Elad; Haim, Avivit; Sinai, Ela; Vaknin, Moshe; Hershkovitz, Yasmin; Horowitz, Atara

    2010-01-01

    The sub-surface damage layer formed by mechanical polishing of sapphire is known to reduce the mechanical strength of the processed sapphire and to degrade the performance of sapphire based components. Thermal annealing is one of the methods to eliminate the sub-surface damage layer. This study focuses on the mechanism of thermal healing by studying its effect on surface topography of a- and c-plane surfaces, on the residual stresses in surface layers and on the thickness of the sub-surface damage layer. An atomically flat surface was developed on thermally annealed c-plane surfaces while a faceted roof-top topography was formed on a-plane surfaces. The annealing resulted in an improved crystallographic perfection close to the sample surface as was indicated by a noticeable decrease in X-ray rocking curve peak width. Etching experiments and surface roughness measurements using white light interferometry with sub-nanometer resolution on specimens annealed to different extents indicate that the sub-surface damage layer of the optically polished sapphire is less than 3 μm thick and it is totally healed after thermal treatment at 1450 deg. C for 72 h.

  1. Crystal orientation mechanism of ZnTe epilayers formed on different orientations of sapphire substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nakasu, T.; Yamashita, S.; Aiba, T.; Hattori, S.; Sun, W.; Taguri, K.; Kazami, F.; Kobayashi, M.

    2014-01-01

    The electrooptic effect in ZnTe has recently attracted research attention, and various device structures using ZnTe have been explored. For application to practical terahertz wave detector devices based on ZnTe thin films, sapphire substrates are preferred because they enable the optical path alignment to be simplified. ZnTe/sapphire heterostructures were focused upon, and ZnTe epilayers were prepared on highly mismatched sapphire substrates by molecular beam epitaxy. Epitaxial relationships between the ZnTe thin films and the sapphire substrates with their various orientations were investigated using an X-ray diffraction pole figure method. (0001) c-plane, (1-102) r-plane, (1-100) m-plane, and (11-20) a-plane oriented sapphire substrates were used in this study. The epitaxial relationship between ZnTe and c-plane sapphire was found to be (111) ZnTe//(0001) sapphire with an in-plane orientation relationship of [−211] ZnTe//[1-100] sapphire. It was found that the (211)-plane ZnTe layer was grown on the m-plane of the sapphire substrates, and the (100)-plane ZnTe layer was grown on the r-plane sapphire. When the sapphire substrates were inclined from the c-plane towards the m-axis direction, the orientation of the ZnTe thin films was then tilted from the (111)-plane to the (211)-plane. The c-plane of the sapphire substrates governs the formation of the (111) ZnTe domain and the ZnTe epilayer orientation. These crystallographic features were also related to the atom arrangements of ZnTe and sapphire.

  2. Flashlamp pumped Ti-sapphire laser for ytterbium glass chirped pulse amplification

    Energy Technology Data Exchange (ETDEWEB)

    Nishimura, Akihiko; Ohzu, Akira; Sugiyama, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; and others

    1998-03-01

    A flashlamp pumped Ti:sapphire laser is designed for ytterbium glass chirped pulse amplification. A high quality Ti:sapphire rod and a high energy long pulse discharging power supply are key components. The primary step is to produce the output power of 10 J per pulse at 920 nm. (author)

  3. Sapphire-fiber-based distributed high-temperature sensing system.

    Science.gov (United States)

    Liu, Bo; Yu, Zhihao; Hill, Cary; Cheng, Yujie; Homa, Daniel; Pickrell, Gary; Wang, Anbo

    2016-09-15

    We present, for the first time to our knowledge, a sapphire-fiber-based distributed high-temperature sensing system based on a Raman distributed sensing technique. High peak power laser pulses at 532 nm were coupled into the sapphire fiber to generate the Raman signal. The returned Raman Stokes and anti-Stokes signals were measured in the time domain to determine the temperature distribution along the fiber. The sensor was demonstrated from room temperature up to 1200°C in which the average standard deviation is about 3.7°C and a spatial resolution of about 14 cm was achieved.

  4. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Structural and electronic characterization of graphene grown by chemical vapor deposition and transferred onto sapphire

    International Nuclear Information System (INIS)

    Joucken, Frédéric; Colomer, Jean-François; Sporken, Robert; Reckinger, Nicolas

    2016-01-01

    Highlights: • CVD graphene is transferred onto sapphire. • Transport measurements reveal relatively low charge carriers mobility. • Scanning probe microscopy experiments reveal the presence of robust contaminant layers between the graphene and the sapphire, responsible for the low carriers mobility. - Abstract: We present a combination of magnetotransport and local probe measurements on graphene grown by chemical vapor deposition on copper foil and subsequently transferred onto a sapphire substrate. A rather strong p-doping is observed (∼9 × 10 12 cm −2 ) together with quite low carrier mobility (∼1350 cm 2 /V s). Atomic force and tunneling imaging performed on the transport devices reveals the presence of contaminants between sapphire and graphene, explaining the limited performance of our devices. The transferred graphene displays ridges similar to those observed whilst graphene is still on the copper foil. We show that, on sapphire, these ridges are made of different thicknesses of the contamination layer and that, contrary to what was reported for hBN or certain transition metal dichalcogenides, no self-cleansing process of the sapphire substrate is observed.

  6. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  7. SERS Raman Sensor Based on Diameter-Modulated Sapphire Fiber

    Energy Technology Data Exchange (ETDEWEB)

    Shimoji, Yutaka

    2010-08-09

    Surface enhanced Raman scattering (SERS) has been observed using a sapphire fiber coated with gold nano-islands for the first time. The effect was found to be much weaker than what was observed with a similar fiber coated with silver nanoparticles. Diameter-modulated sapphire fibers have been successfully fabricated on a laser heated pedestal growth system. Such fibers have been found to give a modest increase in the collection efficiency of induced emission. However, the slow response of the SERS effect makes it unsuitable for process control applications.

  8. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  9. Efficient continuous-wave and passively Q-switched pulse laser operations in a diffusion-bonded sapphire/Er:Yb:YAl3(BO3)4/sapphire composite crystal around 1.55 μm.

    Science.gov (United States)

    Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-01-08

    A composite crystal consisting of a 1.5-mm-thick Er:Yb:YAl 3 (BO 3 ) 4 crystal between two 1.2-mm-thick sapphire crystals was fabricated by the thermal diffusion bonding technique. Compared with a lone Er:Yb:YAl 3 (BO 3 ) 4 crystal measured under the identical experimental conditions, higher laser performances were demonstrated in the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal due to the reduction of the thermal effects. End-pumped by a 976 nm laser diode in a hemispherical cavity, a 1.55 μm continuous-wave laser with a maximum output power of 1.75 W and a slope efficiency of 36% was obtained in the composite crystal when the incident pump power was 6.54 W. Passively Q-switched by a Co 2+ :MgAl 2 O 4 crystal, a 1.52 μm pulse laser with energy of 10 μJ and repetition frequency of 105 kHz was also realized in the composite crystal. Pulse width was 315 ns. The results show that the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal is an excellent active element for 1.55 μm laser.

  10. (211) oriented ZnTe growth on m-plane sapphire by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atugi-shi 243-0198 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi-shi 317-0056 (Japan)

    2013-11-15

    Single-crystalline and single domain ZnTe thin films are sought for high-performance terahertz wave detectors, and ZnTe/sapphire heterostructures were considered since the Electro-Optical (EO) effect could be obtained only from epilayers. ZnTe epilayers were grown on m-plane sapphire substrates by molecular beam epitaxy, and the potential of single domain epilayers was explored. Through the X-ray diffraction pole figure measurement it was confirmed that one (100) oriented ZnTe domain along with two kinds of (211) oriented domains were formed on the m-plane sapphire when the layer was grown at 340 C. When the layer was grown at 350 C, the (211) oriented domain dominated the film. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Sea level characterization of a 1100 g sapphire bolometer

    CERN Document Server

    Pécourt, S; Bobin, C; Coron, N; Jesus, M D; Hadjout, J P; Leblanc, J W; Marcillac, P D

    1999-01-01

    A first characterization of a 1100 g sapphire bolometer, performed at sea level and at a working temperature of 40 mK, is presented. Despite perturbations coming from the high-radioactive background and cosmic rays, calibration spectra could be achieved with an internal alpha source and a sup 5 sup 7 Co gamma-ray source: the experimental threshold is 25 keV, while the FWHM resolution is 17.4 keV for the 122 keV peak. Possible heat release effects are discussed, and a new limit of 9x10 sup - sup 1 sup 4 W/g is obtained for sapphire.

  12. Sapphire scintillation tests for cryogenic detectors in the Edelweiss dark matter search

    Energy Technology Data Exchange (ETDEWEB)

    Luca, M

    2007-07-15

    Identifying the matter in the universe is one of the main challenges of modern cosmology and astrophysics. An important part of this matter seems to be made of non-baryonic particles. Edelweiss is a direct dark matter search using cryogenic germanium bolometers in order to look for particles that interact very weakly with the ordinary matter, generically known as WIMPs (weakly interacting massive particles). An important challenge for Edelweiss is the radioactive background and one of the ways to identify it is to use a larger variety of target crystals. Sapphire is a light target which can be complementary to the germanium crystals already in use. Spectroscopic characterization studies have been performed using different sapphire samples in order to find the optimum doping concentration for good low temperature scintillation. Ti doped crystals with weak Ti concentrations have been used for systematic X ray excitation tests both at room temperature and down to 30 K. The tests have shown that the best Ti concentration for optimum room temperature scintillation is 100 ppm and 50 ppm at T = 45 K. All concentrations have been checked by optical absorption and fluorescence. After having shown that sapphire had interesting characteristics for building heat-scintillation detectors, we have tested if using a sapphire detector was feasible within a dark matter search. During the first commissioning tests of Edelweiss-II, we have proved the compatibility between a sapphire heat scintillation detector and the experimental setup. (author)

  13. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  14. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  15. Thermal neutron scattering kernels for sapphire and silicon single crystals

    International Nuclear Information System (INIS)

    Cantargi, F.; Granada, J.R.; Mayer, R.E.

    2015-01-01

    Highlights: • Thermal cross section libraries for sapphire and silicon single crystals were generated. • Debye model was used to represent the vibrational frequency spectra to feed the NJOY code. • Sapphire total cross section was measured at Centro Atómico Bariloche. • Cross section libraries were validated with experimental data available. - Abstract: Sapphire and silicon are materials usually employed as filters in facilities with thermal neutron beams. Due to the lack of the corresponding thermal cross section libraries for those materials, necessary in calculations performed in order to optimize beams for specific applications, here we present the generation of new thermal neutron scattering kernels for those materials. The Debye model was used in both cases to represent the vibrational frequency spectra required to feed the NJOY nuclear data processing system in order to produce the corresponding libraries in ENDF and ACE format. These libraries were validated with available experimental data, some from the literature and others obtained at the pulsed neutron source at Centro Atómico Bariloche

  16. Neutron method for NDA in the Sapphire Project

    International Nuclear Information System (INIS)

    Lewis, K.D.

    1995-01-01

    The implementation of Project Sapphire, the top-secret mission to the Republic of Kazakhstan to recover weapons-grade nuclear materials, consisted of four major elements: (1) repacking of fissile material from Kazakh containers into suitable U.S. containers; (2) nondestructive analyses (NDA) to quantify the 235 U content of each container for nuclear criticality safety and compliance purposes; (3) packaging of the fissile material containers into 6M/2R drums, which are internationally approved for shipping fissile material; and (4) shipping or transport of the recovered fissile material to the United States. This paper discusses the development and application of a passive neutron counting technique used in the NDA phase of the Sapphire operations to analyze uranium/beryllium (U/Be) alloys and compounds for 235 U content

  17. Growth of cubic InN on r-plane sapphire

    International Nuclear Information System (INIS)

    Cimalla, V.; Pezoldt, J.; Ecke, G.; Kosiba, R.; Ambacher, O.; Spiess, L.; Teichert, G.; Lu, H.; Schaff, W.J.

    2003-01-01

    InN has been grown directly on r-plane sapphire substrates by plasma-enhanced molecular-beam epitaxy. X-ray diffraction investigations have shown that the InN layers consist of a predominant zinc blende (cubic) structure along with a fraction of the wurtzite (hexagonal) phase which content increases with proceeding growth. The lattice constant for zinc blende InN was found to be a=4.986 A. For this unusual growth of a metastable cubic phase on a noncubic substrate an epitaxial relationship was proposed where the metastable zinc blende phase grows directly on the r-plane sapphire while the wurtzite phase arises as the special case of twinning in the cubic structure

  18. An injection modelocked Ti-sapphire laser for synchronous photoinjection

    International Nuclear Information System (INIS)

    Hovater, C.; Poelker, M.

    1997-01-01

    The CEBAF 4 GeV accelerator has recently begun delivering spin-polarized electrons for nuclear physics experiments. Spin-polarized electrons are emitted from a GaAs photocathode that is illuminated with pulsed laser light from a diode laser system synchronized to the injector chopping frequency (499 MHz). The present diode laser system is compact, reliable and relatively maintenance-free; however, output power is limited to less than 500 mW. In an effort to obtain higher average power and thereby prolong the effective operating lifetime of the source, they have constructed an injection modelocked Ti-sapphire laser with picosecond pulsewidths and gigahertz repetition rates. Modelocked operation is obtained through gain modulation within the Ti-sapphire crystal as a result of injection seeding with a gain-switched diode laser. Unlike conventional modelocked lasers, the pulse repetition rate of this laser can be discretely varied by setting the seed laser repetition rate equal to multiples of the Ti-sapphire laser cavity fundamental frequency. They observe pulse repetition rates from 223 MHz (fundamental) to 1,560 MHz (seventh harmonic) with average output power of 700 mW for all repetition rates. Pulsewidths ranged from 21 to 39 ps (FWHM) under various pump laser conditions

  19. Multiphoton imaging with a novel compact diode-pumped Ti:sapphire oscillator

    DEFF Research Database (Denmark)

    König, Karsten; Andersen, Peter E.; Le, Tuan

    2015-01-01

    Multiphoton laser scanning microscopy commonly relies on bulky and expensive femtosecond lasers. We integrated a novel minimal-footprint Ti:sapphire oscillator, pumped by a frequency-doubled distributed Bragg reflector tapered diode laser, into a clinical multiphoton tomograph and evaluated its...... imaging capability using different biological samples, i.e. cell monolayers, corneal tissue, and human skin. With the novel laser, the realization of very compact Ti:sapphire-based systems for high-quality multiphoton imaging at a significantly size and weight compared to current systems will become...

  20. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    Science.gov (United States)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  1. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding; Wu, Feng; Park, Young Jae; Al tahtamouni, T. M.; Li, Kuang-Hui; Alfaraj, Nasir; Detchprohm, Theeradetch; Dupuis, Russell D.; Li, Xiaohang

    2017-01-01

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon's significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  2. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding

    2017-05-12

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon\\'s significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  3. Kerr-lens mode-locked Ti:Sapphire laser pumped by a single laser diode

    Science.gov (United States)

    Kopylov, D. A.; Esaulkov, M. N.; Kuritsyn, I. I.; Mavritskiy, A. O.; Perminov, B. E.; Konyashchenko, A. V.; Murzina, T. V.; Maydykovskiy, A. I.

    2018-04-01

    The performance of a Ti:sapphire laser pumped by a single 461 nm laser diode is presented for both the continuous-wave and the mode-locked regimes of operation. We introduce a simple astigmatism correction scheme for the laser diode beam consisting of two cylindrical lenses affecting the pump beam along the fast axis of the laser diode, which provides the mode-matching between the nearly square-shaped pump beam and the cavity mode. The resulting efficiency of the suggested Ti:Sapphire oscillator pumped by such a laser diode is analyzed for the Ti:sapphire crystals of 3 mm, 5 mm and 10 mm in length. We demonstrate that such a system provides the generation of ultrashort pulses up to 15 fs in duration with the repetition rate of 87 MHz, the average power being 170 mW.

  4. Testing of Sapphire Optical Fiber and Sensors in Intense Radiation Fields When Subjected to Very High Temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Blue, Thomas [The Ohio State Univ., Columbus, OH (United States); Windl, Wolfgang [The Ohio State Univ., Columbus, OH (United States)

    2017-12-15

    The primary objective of this project was to determine the optical attenuation and signal degradation of sapphire optical fibers & sensors (temperature & strain), in-situ, operating at temperatures up to 1500°C during reactor irradiation through experiments and modeling. The results will determine the feasibility of extending sapphire optical fiber-based instrumentation to extremely high temperature radiation environments. This research will pave the way for future testing of sapphire optical fibers and fiber-based sensors under conditions expected in advanced high temperature reactors.

  5. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  6. Characteristics of a Ti:sapphire laser pumped by a Nd:YAG laser and its analysis. Nd:YAG laser reiki Ti:sapphire laser no dosa tokusei to sono kaiseki

    Energy Technology Data Exchange (ETDEWEB)

    Okada, T.; Masumoto, J.; Mizunami, T.; Maeda, M.; Muraoka, K. (Kyushu Univ., Fukuoka (Japan). Faculty of Engineering)

    1991-06-29

    Although Ti: Sapphire expects of a possibility of being a light source much superior to a dye laser having been used as a wavelength variable laser for spectral analyses, it has a limitation that it does not oscillate directly in the visible and ultraviolet regions. In order to develop a light source that is synchronizable over ultraviolet-near infrared regions, by means of combining a Ti: Sapphire laser of a high peak power, comprising an oscillator and a multistage amplifier, with a non-linear frequency conversion method for harmonic generation and Raman conversion, a prototype Ti:Sapphire laser that is excited by YAG laser second harmonic, and that synchronizes with a prism was fabricated, and its operational characteristics were investigated. As a result, an output energy of 35.6 mJ at a maximum was obtained at a wavelength of 773 nm against an excitation energy of 129 mJ, a conversion efficiency of 38.2% was obtained against the absorption energy of the crystals, and a continuous synchronism was achieved over 750 to 900 nm. 4 refs., 9 figs., 1 tab.

  7. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  8. Contribution to the microwave characterisation of superconductive materials by means of sapphire resonators

    International Nuclear Information System (INIS)

    Hanus, Xavier

    1993-01-01

    The objective of this research thesis is to find a compact resonant structure which would allow the residual surface impedance of superconductive samples to be simply, quickly and economically characterised. The author first explains why he decided to use a sapphire single-crystal as inner dielectric, given some performance reached by resonant structures equipped with such inner dielectrics, and given constraints adopted from the start. He explains the origin of microwave losses which appear in this type of resonant structure, i.e. respectively the surface impedance as far as metallic losses are concerned, and the sapphire dielectric loss angle for as far as dielectric losses are concerned. The experimental installation and the principle of microwave measurements are described. The performance of different possible solutions of resonant structures from starting criteria is presented. The solution of the cavity-sapphire with a TE 011 resonant mode is derived [fr

  9. Controlling material birefringence in sapphire via self-assembled, sub-wavelength defects

    Science.gov (United States)

    Singh, Astha; Sharma, Geeta; Ranjan, Neeraj; Mittholiya, Kshitij; Bhatnagar, Anuj; Singh, B. P.; Mathur, Deepak; Vasa, Parinda

    2018-02-01

    Birefringence is the optical property of a material having a refractive index that depends on the polarization and propagation direction of light. Generally, this is an intrinsic optical property of a material and cannot be altered. Here, we report a novel technique—direct laser writing—that enables us to control the natural, material birefringence of sapphire over a broad range of wavelengths. The broadband form birefringence originating from self-assembled, periodic array of sub-wavelength (˜ 50-200 nm) defects created by laser writing, can enhance, suppress or maintain the material birefringence of sapphire without affecting its transparency range in visible or its surface quality.

  10. Single-transverse-mode Ti:sapphire rib waveguide laser

    NARCIS (Netherlands)

    Grivas, C.; Shepherd, D.P.; May-Smith, T.C.; Eason, R.W.; Pollnau, Markus

    2005-01-01

    Laser operation of Ti:sapphire rib waveguides fabricated using photolithography and ion beam etching in pulsed laser deposited layers is reported. Polarized laser emission was observed at 792.5 nm with an absorbed pump power threshold of 265 mW, which is more than a factor of 2 lower in comparison

  11. Heteroepitaxial growth of CuInS2 thin films on sapphire by radio frequency reactive sputtering

    International Nuclear Information System (INIS)

    He, Y.B.; Kriegseis, W.; Meyer, B.K.; Polity, A.; Serafin, M.

    2003-01-01

    Direct heteroepitaxial growth of uniform stoichiometric CuInS 2 (CIS) thin films on sapphire (0001) substrates has been achieved by radio frequency reactive sputtering. X-ray ω-2θ scans reveal that the sputtered layers grow in a (112) orientation with a chalcopyrite structure. A rocking curve full width at half maximum of about 0.05 deg. (180 arc sec) for the (112) peak demonstrates a nearly perfect out-of-plane arrangement of CIS (112) parallel sapphire (0001). X-ray diffraction Phi scans further illustrate an excellent in-plane ordering of CIS [1-bar10] parallel sapphire (101-bar0). The sputtered thin CIS epilayers had a smooth surface with a typical root-mean-square roughness of about 3.3 nm as evaluated by atomic force microscopy. The epitaxial growth of tetragonal CIS on hexagonal sapphire provides evidence that heteroepitaxial growth may be realized between structures of different symmetry, such as films of cubic or tetragonal structures on hexagonal substrates or vice versa

  12. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  13. Development of frequency tunable Ti:sapphire laser and dye laser pumped by a pulsed Nd:YAG laser

    International Nuclear Information System (INIS)

    Yi, Jong Hoon; Horn, Roland; Wendt, K.

    2001-01-01

    We investigated lasing characteristics of two kinds of tunable laser, liquid dye laser and solid Ti:sapphire crystal laser, pumped by high pulse repetition rate Nd:YAG laser. Dye laser showed drastically reduced pulsewidth compared with that of pump laser and it also contained large amount of amplified spontaneous emission. Ti:sapphire laser showed also reduced pulsewidth. But, the laser conversion pump laser and Ti:sapphire laser pulse, we used a Brewster-cut Pockel's cell for Q-switching. The laser was frequency doubled by a type I BBO crystal outside of the cavity.

  14. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    International Nuclear Information System (INIS)

    Miyoshi, Makoto; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi; Mizuno, Masaya; Soga, Tetsuo

    2015-01-01

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO 2 /Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO 2 /Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO 2 /Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm 2 /V s for electrons and 880 cm 2 /V s for holes, respectively

  15. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Miyoshi, Makoto, E-mail: miyoshi.makoto@nitech.ac.jp; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi [Research Center for Nano Device and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Mizuno, Masaya [Research Center for Nano Device and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Department of Frontier Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Soga, Tetsuo [Department of Frontier Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan)

    2015-08-17

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO{sub 2}/Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO{sub 2}/Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO{sub 2}/Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm{sup 2}/V s for electrons and 880 cm{sup 2}/V s for holes, respectively.

  16. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  17. A neutron method for NDA analysis in the SAPPHIRE Project

    International Nuclear Information System (INIS)

    Lewis, K.D.

    1995-01-01

    The implementation of Project SAPPHIRE, the top secret mission to the Republic of Kazakhstan to recover weapons grade nuclear materials, consisted of four major elements: (1) the re-packing of fissile material from Kazakh containers into suitable US containers; (2) nondestructive analyses (NDA) to quantify the U-235 content of each container for Nuclear Criticality Safety and compliance purposes; (3) the packaging of the fissile material containers into 6M/2R drums, which are internationally approved for shipping fissile material; and (4) the shipping or transport of the recovered fissile material to the United States. This paper discusses the development and application of a passive neutron counting technique used in the NDA phase of SAPPHIRE operations to analyze uranium/beryllium (U/Be) alloys and compounds for U-235 content

  18. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  19. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 151-744 (Korea, Republic of); Ju, Jin-Woo [Korea Photonics Technology Institute, Gwangju 500-779 (Korea, Republic of); Kim, Young-Min; Yoo, Seung Jo; Kim, Jin-Gyu [Korea Basic Science Institute, Daejeon 305-806 (Korea, Republic of)

    2015-07-15

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface, high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.

  20. Structural, transport and microwave properties of 123/sapphire films: Thickness effect

    Energy Technology Data Exchange (ETDEWEB)

    Predtechensky, MR.; Smal, A.N.; Varlamov, Y.D. [Institute of Thermophysics, Novosibirsk (Russian Federation)] [and others

    1994-12-31

    The effect of thickness and growth conditions on the structure and microwave properties has been investigated for the 123/sapphire films. It has been shown that in the conditions of epitaxial growth and Al atoms do not diffuse from substrate into the film and the films with thickness up to 100nm exhibit the excellent DC properties. The increase of thickness of GdBaCuO films causes the formation of extended line-mesh defects and the increase of the surface resistance (R{sub S}). The low value of surface resistance R{sub S}(75GHz,77K)=20 mOhm has been obtained for the two layer YBaCuO/CdBaCuO/sapphire films.

  1. Neurosurgery contact handheld probe based on sapphire shaped crystal

    Science.gov (United States)

    Shikunova, I. A.; Stryukov, D. O.; Rossolenko, S. N.; Kiselev, A. M.; Kurlov, V. N.

    2017-01-01

    A handheld contact probe based on sapphire shaped crystal is developed for intraoperative spectrally-resolved optical diagnostics, laser coagulation and aspiration of malignant brain tissue. The technology was integrated into the neurosurgical workflow for intraoperative real-time identification and removing of invasive brain cancer.

  2. Study of sapphire probe tip wear when scanning on different materials

    International Nuclear Information System (INIS)

    Nicolet, Anaïs; Küng, Alain; Meli, Felix

    2012-01-01

    The accuracy of today's coordinate measuring machines (CMM) has reached a level at which exact knowledge of each component is required. The role of the probe tip is particularly crucial as it is in contact with the sample surface. Understanding how the probe tip wears off will help to narrow the measurement errors. In this work, wear of a sapphire sphere was studied for different scanning conditions and with different sample materials. Wear depth on the probe was investigated using an automated process in situ on the METAS micro-CMM and completed by measurements with an atomic force microscope. We often found a linear dependence between the wear depth and the scan length ranging from 0.5 to 9 nm m −1 , due to variations in scan speed, contact force or sample material. In the case of steel, the wear rate is proportional to the scan speed, while for aluminum several processes seem to interact. A large amount of debris was visible after the tests. Except for aluminum, wear was visible only on the sphere and not on the sample. Sapphire/steel is the worst combination in terms of wear, whereas the combination sapphire/ceramic exhibits almost no wear. (paper)

  3. Antireflection coatings for intraocular lenses of sapphire and fianite

    Energy Technology Data Exchange (ETDEWEB)

    Babin, A.A.; Konoplev, Yu.N.; Mamaev, Yu.A. [Inst. of Applied Physics, Nizhnii Novgorod (Russian Federation)] [and others

    1995-10-01

    Broadband antireflection coatings for intraocular lenses of sapphire and fianite are calculated and implemented practically. Their residual reflectance in the liquid with a refracting index of 1.336 is below 0.2% from each face virtually over the entire visible region. 7 refs., 2 figs., 2 tabs.

  4. Scintillation of sapphire under particle excitation at low temperature

    International Nuclear Information System (INIS)

    Amare, J; Beltran, B; Cebrian, S; Coron, N; Dambier, G; GarcIa, E; Gomez, H; Irastorza, I G; Leblanc, J; Luzon, G; Marcillac, P de; Martinez, M; Morales, J; Ortiz de Solorzano, A; Pobes, C; Puimedon, J; Redon, T; RodrIguez, A; Ruz, J; Sarsa, M L; Torres, L; Villar, J A

    2006-01-01

    The scintillation properties of undoped sapphire at very low temperature have been studied in the framework of the ROSEBUD (Rare Objects SEarch with Bolometers UnDerground) Collaboration devoted to dark matter searches. We present an estimation of its light yield under gamma, alpha and neutron excitation

  5. Occurrence and elimination of in-plane misoriented crystals in AlN epilayers on sapphire via pre-treatment control

    International Nuclear Information System (INIS)

    Wang Hu; Xiong Hui; Wu Zhi-Hao; Yu Chen-Hui; Tian Yu; Dai Jiang-Nan; Fang Yan-Yan; Zhang Jian-Bao; Chen Chang-Qing

    2014-01-01

    AlN epilayers are grown directly on sapphire (0001) substrates each of which has a low temperature AlN nucleation layer. The effects of pretreatments of sapphire substrates, including exposures to NH 3 /H 2 and to H 2 only ambients at different temperatures, before the growth of AlN epilayers is investigated. In-plane misoriented crystals occur in N-polar AlN epilayers each with pretreatment in a H 2 only ambient, and are characterized by six 60°-apart peaks with splits in each peak in (101-bar 2) phi scan and two sets of hexagonal diffraction patterns taken along the [0001] zone axis in electron diffraction. These misoriented crystals can be eliminated in AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient. AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient are Al-polar. Our results show the pretreatments and the nucleation layers are responsible for the polarities of the AlN epilayers. We ascribe these results to the different strain relaxation mechanisms induced by the lattice mismatch of AlN and sapphire. (interdisciplinary physics and related areas of science and technology)

  6. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2011-01-01

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W...... of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2....... The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected....

  7. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses.

    Science.gov (United States)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika; Le, Tuan; Stingl, Andreas; Hasler, Karl-Heinz; Sumpf, Bernd; Erbert, Götz; Andersen, Peter E; Petersen, Paul Michael

    2011-06-20

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected.

  8. Sapphire implant based neuro-complex for deep-lying brain tumors phototheranostics

    Science.gov (United States)

    Sharova, A. S.; Maklygina, YU S.; Yusubalieva, G. M.; Shikunova, I. A.; Kurlov, V. N.; Loschenov, V. B.

    2018-01-01

    The neuro-complex as a combination of sapphire implant optical port and osteoplastic biomaterial "Collapan" as an Aluminum phthalocyanine nanoform photosensitizer (PS) depot was developed within the framework of this study. The main goals of such neuro-complex are to provide direct access of laser radiation to the brain tissue depth and to transfer PS directly to the pathological tissue location that will allow multiple optical phototheranostics of the deep-lying tumor region without repeated surgical intervention. The developed complex spectral-optical properties research was carried out by photodiagnostics method using the model sample: a brain tissue phantom. The optical transparency of sapphire implant allows obtaining a fluorescent signal with high accuracy, comparable to direct measurement "in contact" with the tissue.

  9. A Century of Sapphire Crystal Growth

    Science.gov (United States)

    2004-05-17

    should be aware that notwithstanding any other provision of law , no person shall be subject to a penalty for failing to comply with a collection of...and ruby were oxides of the elements aluminum and silicon.1 In 1817, J. L. Gay- Lussac found that pure aluminum oxide (also called alumina) could...thought to consist of Al2O3 and SiO2 •1817: Gay- Lussac : •1840: Rose: Found SiO2 in sapphire is from agate mortar used for grinding •1837-72: Gaudin

  10. Influence of interfacial reactions on the fiber push-out behavior in sapphire fiber-reinforced-NiAl(Yb) composites

    International Nuclear Information System (INIS)

    Tewari, S.N.; Asthana, R.; Tiwari, R.; Bowman, R.R.

    1993-01-01

    The influence of microstructure of the fiber-matrix interface on the fiber push-out behavior has been examined in sapphire fiber-reinforced NiAl and NiAl(Yb) matrix composites synthesized using powder metallurgy techniques combined with zone directional solidification (DS). The push-out stress-displacement curves were observed to consist of an initial 'pseudoelastic' region, wherein the stress increased linearly with displacement, followed by an 'inelastic' region, where the slope of the stress-displacement plot decreased until a maximum stress was reached, and the subsequent stress drop to a constant 'frictional' stress. Chemical reaction between the fiber and the matrix resulted in higher interfacial shear strength in powder cloth processed sapphire-NiAl(Yb) composites as compared to the sapphire-NiAl composites. Grain boundaries in contact with the fibers on the back face of the push-out samples were the preferred sites for crack nucleation in PM composites. The frictional stress was independent of the microstructure and processing variables for NiAl composites, but showed strong dependence on these variables for the NiAl(Yb) composites. The DS processing enhanced the fiber-matrix interfacial shear strength of feedstock PM-NiAl/sapphire composites. However, it reduced the interfacial shear strength of PM-NiAl(Yb)-sapphire composites

  11. Micromachining and dicing of sapphire, gallium nitride and micro LED devices with UV copper vapour laser

    International Nuclear Information System (INIS)

    Gu, E.; Jeon, C.W.; Choi, H.W.; Rice, G.; Dawson, M.D.; Illy, E.K.; Knowles, M.R.H.

    2004-01-01

    Gallium nitride (GaN) and sapphire are important materials for fabricating photonic devices such as high brightness light emitting diodes (LEDs). These materials are strongly resistant to wet chemical etching and also, low etch rates restrict the use of dry etching. Thus, to develop alternative high resolution processing and machining techniques for these materials is important in fabricating novel photonic devices. In this work, a repetitively pulsed UV copper vapour laser (255 nm) has been used to machine and dice sapphire, GaN and micro LED devices. Machining parameters were optimised so as to achieve controllable machining and high resolution. For sapphire, well-defined grooves 30 μm wide and 430 μm deep were machined. For GaN, precision features such as holes on a tens of micron length scale have been fabricated. By using this technique, compact micro LED chips with a die spacing 100 and a 430 μm thick sapphire substrate have been successfully diced. Measurements show that the performances of LED devices are not influenced by the UV laser machining. Our results demonstrate that the pulsed UV copper vapour laser is a powerful tool for micromachining and dicing of photonic materials and devices

  12. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  13. Design of all solid state tunable single-mode Ti: sapphire laser for nuclear industry

    International Nuclear Information System (INIS)

    Lee, J.H.; Nam, S.M.; Lee, Y.J.; Lee, J.M.; Horn, Roland E.; Wendt, Klaus

    1999-01-01

    We designed a Ti:Sapphire laser pumped by a diode laser pumped solid state laser (DPSSL). The DPSSL was intra-cavity frequency doubled and it had 20 W output power. The Ti:Sapphire laser was designed for single longitudinal mode lasing. For single mode lasing, the laser used several solid etalons. We simulated temporal evolution of the laser pulse and single pass amplification rate of the photons in each modes from rate equations. From the result, we found that single mode lasing is viable in this cavity

  14. Detection of beryllium treatment of natural sapphires by NRA

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez, P.C., E-mail: carolina.gutierrez@uam.e [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Ynsa, M.-D.; Climent-Font, A. [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Dpto. Fisica Aplicada C-12, Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Calligaro, T. [Centre de Recherche et de Restauration des musees de France C2RMF, CNRS-UMR171, 14 quai Francois Mitterrand, 75001 Paris (France)

    2010-06-15

    Since the 1990's, artificial treatment of natural sapphires (Al{sub 2}O{sub 3} crystals coloured by impurities) by diffusion of beryllium at high temperature has become a growing practice. This process permits to enhance the colour of these gemstones, and thus to increase their value. Detection of such a treatment - diffusion of tens of {mu}g/g of beryllium in Al{sub 2}O{sub 3} crystals - is usually achieved using high sensitivity techniques like laser-ablation inductively coupled plasma mass spectrometry (LA-ICP/MS) or laser-induced breakdown spectrometry (LIBS) which are unfortunately micro-destructive (leaving 50-100-{mu}m diameter craters on the gems). The simple and non-destructive alternative method proposed in this work is based on the nuclear reaction {sup 9}Be({alpha}, n{gamma}){sup 12}C with an external helium ion beam impinging on the gem directly placed in air. The 4439 keV prompt {gamma}-ray tagging Be atoms are detected with a high efficiency bismuth germanate scintillator. Beam dose is monitored using the 2235 keV prompt {gamma}-ray produced during irradiation by the aluminium of the sapphire matrix through the {sup 27}Al({alpha}, p{gamma}){sup 30}Si nuclear reaction. The method is tested on a series of Be-treated sapphires previously analyzed by LA-ICP/MS to determine the optimal conditions to obtain a peak to background appropriate to reach the required {mu}g/g sensitivity. Using a 2.8-MeV external He beam and a beam dose of 200 {mu}C, beryllium concentrations from 5 to 16 {mu}g/g have been measured in the samples, with a detection limit of 1 {mu}g/g.

  15. The Influence of Surface Anisotropy Crystalline Structure on Wetting of Sapphire by Molten Aluminum

    Science.gov (United States)

    Aguilar-Santillan, Joaquin

    2013-05-01

    The wetting of sapphire by molten aluminum was investigated by the sessile drop technique from 1073 K to 1473 K (800 °C to 1200 °C) at PO2 <10-15 Pa under Ar atmosphere. This study focuses on sapphire crystalline structure and its principle to the interface. The planes " a" and " b" are oxygen terminated structures and wet more by Al, whereas the " c" plane is an aluminum terminated structure. A wetting transition at 1273 K (1000 °C) was obtained and a solid surface tension proves the capillarity trends of the couple.

  16. Characteristics of surface acoustic waves in (11\\bar 2 0)ZnO film/ R-sapphire substrate structures

    Science.gov (United States)

    Wang, Yan; Zhang, ShuYi; Xu, Jing; Xie, YingCai; Lan, XiaoDong

    2018-02-01

    (11\\bar 2 0)ZnO film/ R-sapphire substrate structure is promising for high frequency acoustic wave devices. The propagation characteristics of SAWs, including the Rayleigh waves along [0001] direction and Love waves along [1ī00] direction, are investigated by using 3 dimensional finite element method (3D-FEM). The phase velocity ( v p), electromechanical coupling coefficient ( k 2), temperature coefficient of frequency ( TCF) and reflection coefficient ( r) of Rayleigh wave and Love wave devices are theoretically analyzed. Furthermore, the influences of ZnO films with different crystal orientation on SAW properties are also investigated. The results show that the 1st Rayleigh wave has an exceedingly large k 2 of 4.95% in (90°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate associated with a phase velocity of 5300 m/s; and the 0th Love wave in (0°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate has a maximum k 2 of 3.86% associated with a phase velocity of 3400 m/s. And (11\\bar 2 0)ZnO film/ R-sapphire substrate structures can be used to design temperature-compensated and wide-band SAW devices. All of the results indicate that the performances of SAW devices can be optimized by suitably selecting ZnO films with different thickness and crystal orientations deposited on R-sapphire substrates.

  17. Influences of oxygen gas flow rate on electrical properties of Ga-doped ZnO thin films deposited on glass and sapphire substrates

    International Nuclear Information System (INIS)

    Makino, Hisao; Song, Huaping; Yamamoto, Tetsuya

    2014-01-01

    The Ga-doped ZnO (GZO) films deposited on glass and c-plane sapphire substrates have been comparatively studied in order to explore the role of grain boundaries in electrical properties. The influences of oxygen gas flow rates (OFRs) during the deposition by ion-plating were examined. The dependences of carrier concentration, lattice parameters, and characteristic of thermal desorption of Zn on the OFR showed common features between glass and sapphire substrates, however, the Hall mobility showed different behavior. The Hall mobility of GZO films on glass increased with increasing OFR of up to 15 sccm, and decreased with further increasing OFR. On the other hand, the Hall mobility of GZO films on c-sapphire increased for up to 25 sccm. The role of grain boundary in polycrystalline GZO films has been discussed. - Highlights: • Ga-doped ZnO films were deposited on glass and c-sapphire by ion-plating. • The epitaxial growth on c-sapphire was confirmed by X-ray diffraction. • Dependence of Hall mobility showed different tendency between glass and sapphire. • Grain boundaries influence transport properties at high O 2 gas flow rate

  18. Description of Project Sapphire. Revision 1

    International Nuclear Information System (INIS)

    Taylor, R.G.

    1995-01-01

    The mission of Project Sapphire was to repackage approximately 600 kg of highly enriched uranium (HEU) in the Republic of Kazakhstan into internationally acceptable shipping packages and transport the material to a storage location in the United States. There were four material types to be repackaged: metal; oxide; uranium/beryllium (U/Be) alloy; and residues from U/Be alloy production. Seven major steps described in this report were necessary for successful execution of the project: planning and training; readiness assessment; deployment; set up; process; take down; and transport. Nuclear criticality safety especially affected several of these steps

  19. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Voronenkov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Virko, M. V.; Kogotkov, V. S.; Leonidov, A. A. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Pinchuk, A. V.; Zubrilov, A. S.; Gorbunov, R. I.; Latishev, F. E.; Bochkareva, N. I.; Lelikov, Y. S.; Tarkhin, D. V.; Smirnov, A. N.; Davydov, V. Y. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Y. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A vertical Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.

  20. Sapphire: a better material for atomization and in situ collection of silver volatile species for atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Musil, Stanislav, E-mail: stanomusil@biomed.cas.cz; Matoušek, Tomáš; Dědina, Jiří

    2015-06-01

    Sapphire is presented as a high temperature and corrosion resistant material of an optical tube of an atomizer for volatile species of Ag generated by the reaction with NaBH{sub 4}. The modular atomizer design was employed which allowed to carry out the measurements in two modes: (i) on-line atomization and (ii) in situ collection (directly in the optical tube) by means of excess of O{sub 2} over H{sub 2} in the carrier gas during the trapping step and vice versa in the volatilization step. In comparison with quartz atomizers, the sapphire tube atomizer provides a significantly increased atomizer lifetime as well as substantially improved repeatability of the Ag in situ collection signals shapes. In situ collection of Ag in the sapphire tube atomizer was highly efficient (> 90%). Limit of detection in the on-line atomization mode and in situ collection mode, respectively, was 1.2 ng ml{sup −1} and 0.15 ng ml{sup −1}. - Highlights: • Sapphire was tested as a new material of an atomizer tube for Ag volatile species. • Two measurement modes were investigated: on-line atomization and in situ collection. • In situ collection of Ag was highly efficient (> 90%) with LOD of 0.15 ng ml{sup −1}. • No devitrification of the sapphire tube observed in the course of several months.

  1. Interfacial thermal resistance between high-density polyethylene (HDPE) and sapphire

    International Nuclear Information System (INIS)

    Zheng Kun; Ma Yong-Mei; Wang Fo-Song; Zhu Jie; Tang Da-Wei

    2014-01-01

    To improve the thermal conductivity of polymeric composites, the numerous interfacial thermal resistance (ITR) inside is usually considered as a bottle neck, but the direct measurement of the ITR is hardly reported. In this paper, a sandwich structure which consists of transducer/high density polyethylene (HDPE)/sapphire is prepared to study the interface characteristics. Then, the ITRs between HDPE and sapphire of two samples with different HDPE thickness values are measured by time-domain thermoreflectance (TDTR) method and the results are ∼ 2 × 10 −7 m 2 ·K·W −1 . Furthermore, a model is used to evaluate the importance of ITR for the thermal conductivity of composites. The model's analysis indicates that reducing the ITR is an effective way of improving the thermal conductivity of composites. These results will provide valuable guidance for the design and manufacture of polymer-based thermally conductive materials. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  2. Wetting behavior of liquid Fe-C-Ti alloys on sapphire

    International Nuclear Information System (INIS)

    Gelbstein, M.; Froumin, N.; Frage, N.

    2008-01-01

    Wetting behavior in the (Fe-C-Ti)/sapphire system was studied at 1823 K. The wetting angle between sapphire and Fe-C alloys is higher than 90 deg. (93 deg. and 105 deg. for the alloys with 1.4 and 3.6 at.% C, respectively). The presence of Ti improves the wetting of the iron-carbon alloys, especially for the alloys with carbon content of 3.6 at.%. The addition of 5 at.% Ti to Fe-3.6 at.% C provides a contact angle of about 30 deg., while the same addition to Fe-1.4 at.% C decreases the wetting angle to 70 deg. only. It was established that the wetting in the systems is controlled by the formation of a titanium oxicarbide layer at the interface, which composition and thickness depend on C and Ti contents in the melt. The experimental observations are well accounted for by a thermodynamic analysis of the Fe-Ti-Al-O-C system

  3. Neutron reflectivity study of substrate surface chemistry effects on supported phospholipid bilayer formation on (1120) sapphire.

    Energy Technology Data Exchange (ETDEWEB)

    Oleson, Timothy A. [University of Wisconsin, Madison; Sahai, Nita [University of Akron; Wesolowski, David J [ORNL; Dura, Joseph A [ORNL; Majkrzak, Charles F [ORNL; Giuffre, Anthony J. [University of Wisconsin, Madison

    2012-01-01

    Oxide-supported phospholipid bilayers (SPBs) used as biomimetric membranes are significant for a broad range of applications including improvement of biomedical devices and biosensors, and in understanding biomineralization processes and the possible role of mineral surfaces in the evolution of pre-biotic membranes. Continuous-coverage and/or stacjed SPBs retain properties (e.,g. fluidity) more similar to native biological membranes, which is desirable for most applications. Using neutron reflectivity, we examined face coverage and potential stacking of dipalmitoylphosphatidylcholine (DPPC) bilayers on the (1120) face of sapphire (a-Al2O3). Nearly full bilayers were formed at low to neutral pH, when the sapphire surface is positively charged, and at low ionic strength (l=15 mM NaCl). Coverage decreased at higher pH, close to the isoelectric point of sapphire, and also at high I>210mM, or with addition of 2mM Ca2+. The latter two effects are additive, suggesting that Ca2+ mitigates the effect of higher I. These trends agree with previous results for phospholipid adsorption on a-Al2O3 particles determined by adsorption isotherms and on single-crystal (1010) sapphire by atomic force microscopy, suggesting consistency of oxide surface chemistry-dependent effects across experimental techniques.

  4. Formation of Au nanoparticles in sapphire by using Ar ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Zhou, L.H.; Zhang, C.H.; Yang, Y.T.; Li, B.S.; Zhang, L.Q.; Fu, Y.C.; Zhang, H.H.

    2009-01-01

    In this paper, we present results of the synthesis of gold nanoclusters in sapphire, using Ar ion implantation and annealing in air. Unlike the conventional method of Au implantation followed by thermal annealing, Au was deposited on the surface of m- and a- cut sapphire single crystal samples including those pre-implanted with Ar ions. Au atoms were brought into the substrate by subsequent implantation of Ar ions to form Au nanoparticles. Samples were finally annealed stepwisely in air at temperatures ranging from 400 to 800 deg. C and then studied using UV-vis absorption spectrometry, transmission electron microscopy and Rutherford backscattered spectrometry. Evidence of the formation Au nanoparticles in the sapphire can be obtained from the characteristic surface plasmon resonance (SPR) absorption band in the optical absorption spectra or directly from the transmission electron microscopy. The results of optical absorption spectra indicate that the specimen orientations and pre-implantation also influence the size and the volume fraction of Au nanoparticles formed. Theoretical calculations using Maxwell-Garnett effective medium theory supply a good interpretation of the optical absorption results.

  5. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    Science.gov (United States)

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  6. Sub-100 fs high average power directly blue-diode-laser-pumped Ti:sapphire oscillator

    Science.gov (United States)

    Rohrbacher, Andreas; Markovic, Vesna; Pallmann, Wolfgang; Resan, Bojan

    2016-03-01

    Ti:sapphire oscillators are a proven technology to generate sub-100 fs (even sub-10 fs) pulses in the near infrared and are widely used in many high impact scientific fields. However, the need for a bulky, expensive and complex pump source, typically a frequency-doubled multi-watt neodymium or optically pumped semiconductor laser, represents the main obstacle to more widespread use. The recent development of blue diodes emitting over 1 W has opened up the possibility of directly diode-laser-pumped Ti:sapphire oscillators. Beside the lower cost and footprint, a direct diode pumping provides better reliability, higher efficiency and better pointing stability to name a few. The challenges that it poses are lower absorption of Ti:sapphire at available diode wavelengths and lower brightness compared to typical green pump lasers. For practical applications such as bio-medicine and nano-structuring, output powers in excess of 100 mW and sub-100 fs pulses are required. In this paper, we demonstrate a high average power directly blue-diode-laser-pumped Ti:sapphire oscillator without active cooling. The SESAM modelocking ensures reliable self-starting and robust operation. We will present two configurations emitting 460 mW in 82 fs pulses and 350 mW in 65 fs pulses, both operating at 92 MHz. The maximum obtained pulse energy reaches 5 nJ. A double-sided pumping scheme with two high power blue diode lasers was used for the output power scaling. The cavity design and the experimental results will be discussed in more details.

  7. Generation of continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling of a Ti:sapphire laser.

    Science.gov (United States)

    Cha, Yong-Ho; Ko, Kwang-Hoon; Lim, Gwon; Han, Jae-Min; Park, Hyun-Min; Kim, Taek-Soo; Jeong, Do-Young

    2010-03-20

    We have generated continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling a high-power Ti:sapphire laser in an external enhancement cavity. An LBO crystal that is Brewster-cut and antireflection coated on both ends is used for a long-term stable frequency doubling. By optimizing the input coupler's reflectivity, we could generate 1.5 W 378 nm radiation from a 5 W 756 nm Ti:sapphire laser. According to our knowledge, this is the highest CW frequency-doubled power of a Ti:sapphire laser.

  8. Lattice dynamics of sapphire (corundum). Pt. 2

    International Nuclear Information System (INIS)

    Kappus, W.

    1975-01-01

    Theoretical models of the lattice dynamics of sapphire (α - Al 2 O 3 ), based on the assumption of rigid ions, have been fitted to measured phonons at the Gamma-point of the Brillouin zone. Short range interactions were taken into account by assuming 2-body interactions between touching ions. Additional 3-body interactions could not improve the fit significantly. Calculated dispersion curves are presented and compared with inelastic neutron scattering data. A good agreement for branches along the trigonal axis can be stated. (orig.) [de

  9. Characterization of local hydrophobicity on sapphire (0001) surfaces in aqueous environment by colloidal probe atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wada, Tomoya; Yamazaki, Kenji; Isono, Toshinari; Ogino, Toshio, E-mail: ogino-toshio-rx@ynu.ac.jp

    2017-02-28

    Highlights: • Local hydrophobicity of phase-separated sapphire (0001) surfaces was investigated. • These surfaces are featured by coexistence of hydrophilic and hydrophobic domains. • Each domain was characterized by colloidal probe atomic force microscopy in water. • Both domains can be distinguished by adhesive forces of the probe to the surfaces. • Characterization in aqueous environment is important in bio-applications of sapphire. - Abstract: Sapphire (0001) surfaces exhibit a phase-separation into hydrophobic and hydrophilic domains upon high-temperature annealing, which were previously distinguished by the thickness of adsorbed water layers in air using atomic force microscopy (AFM). To characterize their local surface hydrophobicity in aqueous environment, we used AFM equipped with a colloidal probe and measured the local adhesive force between each sapphire domain and a hydrophilic SiO{sub 2} probe surface, or a hydrophobic polystyrene one. Two data acquisition modes for statistical analyses were used: one is force measurements at different positions of the surface and the other repeated measurement at a fixed position. We found that adhesive force measurements using the polystyrene probe allow us to distinctly separate the hydrophilic and hydrophobic domains. The dispersion in the force measurement data at different positions of the surface is larger than that in the repeated measurements at a fixed position. It indicates that the adhesive force measurement is repeatable although their data dispersion for the measurement positions is relatively large. From these results, we can conclude that the hydrophilic and hydrophobic domains on the sapphire (0001) surfaces are distinguished by a difference in their hydration degrees.

  10. Interfacial structure of V2AlC thin films deposited on (112-bar 0)-sapphire

    International Nuclear Information System (INIS)

    Sigumonrong, Darwin P.; Zhang, Jie; Zhou, Yanchun; Music, Denis; Emmerlich, Jens; Mayer, Joachim; Schneider, Jochen M.

    2011-01-01

    Local epitaxy between V 2 AlC and sapphire without intentionally or spontaneously formed seed layers was observed by transmission electron microscopy. Our ab initio calculations suggest that the most stable interfacial structure is characterized by the stacking sequence ...C-V-Al-V//O-Al..., exhibiting the largest work of separation for the configurations studied and hence strong interfacial bonding. It is proposed that a small misfit accompanied by strong interfacial bonding enable the local epitaxial growth of V 2 AlC on (112-bar 0)-sapphire.

  11. Microscopic origin of the optical processes in blue sapphire.

    Science.gov (United States)

    Bristow, Jessica K; Parker, Stephen C; Catlow, C Richard A; Woodley, Scott M; Walsh, Aron

    2013-06-11

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  12. Microscopic origin of the optical processes in blue sapphire

    OpenAIRE

    Bristow, JK; Parker, SC; Catlow, CRA; Woodley, SM; Walsh, A

    2013-01-01

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  13. Characteristics of InGaN-Based Light-Emitting Diodes on Patterned Sapphire Substrates with Various Pattern Heights

    Directory of Open Access Journals (Sweden)

    Sheng-Fu Yu

    2012-01-01

    Full Text Available The optical and electrical characteristics of InGaN-based blue light-emitting diodes (LEDs grown on patterned sapphire substrates (PSSs with different pattern heights and on planar sapphire by atmospheric-pressure metal-organic chemical vapor deposition were investigated. Compared with planar sapphire, it was found that the LED electroluminescence intensity is significantly enhanced on PSSs with pattern heights of 0.5 (21%, 1.1 (57%, 1.5 (81%, and 1.9 (91% μm at an injected current of 20 mA. The increased light intensity exhibits the same trend in a TracePro simulation. In addition, it was also found that the level of leakage current depends on the density of V-shape defects, which were measured by scanning electron microscopy.

  14. Sapphire/TiAl composites - structure and properties

    International Nuclear Information System (INIS)

    Povarova, K.B.; Antonova, A.V.; Mileiko, S.T.; Sarkissyan, N.S.

    2001-01-01

    Ti-Al-intermetallic-based alloys with lamellar microstructure, -γ(TiAl) +α 2 (Ti 3 Al) are characterized by a high melting point of 1460 o C, a low density of ∼3.9 g/cm 3 , a high gas corrosion resistance up to a temperature of about 900 o C, a high creep resistance up to a temperature of about 800 o C, and a sufficiently high fracture toughness at low temperatures, up to 30 Mpa x m 1/2 . Hence, they are considered as excellent matrices for fibres of high melting point. Unlike well-developed SiC/TiAl composites, which have an obvious upper limit for the usage temperature due to SiC/TiAl interaction, Sapphire/TiAl composites remain nearly unknown because fibres to be used in such composites have not been really available. At the present time, such fibres are developed in Solid State Physics Inst. of RAS. The results of preliminary creep tests of Al 2 O 3 /TiAl composites obtained by using pressure casting have shown that usage of such composite systems shifts the temperature limit for light structural materials in terms of creep resistance to, at least, 1050 o C: creep strength on 100 h time base reaches 120 MPa at that temperature. It occurs also that Sapphire-fibres/TiAl-matrix composite specimens have an increased gas corrosion resistance by more than one order of the magnitudes as compared with that of the matrix alloy. (author)

  15. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  16. Dependence of adhesion strength between GaN LEDs and sapphire substrate on power density of UV laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junsu [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Sin, Young-Gwan [Department of Nano-Mechatronics, Korea University of Science and Technology (UST), 217 Gajeong-Ro, Yuseong-Gu, Daejeon 34113 (Korea, Republic of); Kim, Jae-Hyun [Department of Nano-Mechanics, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Kim, Jaegu, E-mail: gugu99@kimm.re.kr [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of)

    2016-10-30

    Highlights: • Fundamental relationship between laser irradiation and adhesion strength, between gallium-nitride light emitted diode and sapphire substrate, is proposed during selective laser lift-off. • Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate. • Ga precipitation caused by thermal decomposition and roughened interface caused by thermal damage lead to the considerable difference of adhesion strength at the interface. - Abstract: Selective laser lift-off (SLLO) is an innovative technology used to manufacture and repair micro-light-emitting diode (LED) displays. In SLLO, laser is irradiated to selectively separate micro-LED devices from a transparent sapphire substrate. The light source used is an ultraviolet (UV) laser with a wavelength of 266 nm, pulse duration of 20 ns, and repetition rate of 30 kHz. Controlled adhesion between a LED and the substrate is key for a SLLO process with high yield and reliability. This study examined the fundamental relationship between adhesion and laser irradiation. Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate: Ga precipitation caused by the thermal decomposition of GaN and roughened interface caused by thermal damage on the sapphire. The competition between these two mechanisms leads to a non-trivial SLLO condition that needs optimization. This study helps understand the SLLO process, and accelerate the development of a process for manufacturing micro-LED displays via SLLO for future applications.

  17. Vanadium-rich ruby and sapphire within Mogok Gemfield, Myanmar: implications for gem color and genesis

    Science.gov (United States)

    Zaw, Khin; Sutherland, Lin; Yui, Tzen-Fu; Meffre, Sebastien; Thu, Kyaw

    2015-01-01

    Rubies and sapphires are of both scientific and commercial interest. These gemstones are corundum colored by transition elements within the alumina crystal lattice: Cr3+ yields red in ruby and Fe2+, Fe3+, and Ti4+ ionic interactions color sapphires. A minor ion, V3+ induces slate to purple colors and color change in some sapphires, but its role in coloring rubies remains enigmatic. Trace element and oxygen isotope composition provide genetic signatures for natural corundum and assist geographic typing. Here, we show that V can dominate chromophore contents in Mogok ruby suites. This raises implications for their color quality, enhancement treatments, geographic origin, exploration and exploitation and their comparison with rubies elsewhere. Precise LA-ICP-MS analysis of ruby and sapphire from Mogok placer and in situ deposits reveal that V can exceed 5,000 ppm, giving V/Cr, V/Fe and V/Ti ratios up to 26, 78, and 97 respectively. Such values significantly exceed those found elsewhere suggesting a localized geological control on V-rich ruby distribution. Our results demonstrate that detailed geochemical studies of ruby suites reveal that V is a potential ruby tracer, encourage comparisons of V/Cr-variation between ruby suites and widen the scope for geographic typing and genesis of ruby. This will allow more precise comparison of Asian and other ruby fields and assist confirmation of Mogok sources for rubies in historical and contemporary gems and jewelry.

  18. Structure of the Dislocation in Sapphire

    DEFF Research Database (Denmark)

    Bilde-Sørensen, Jørgen; Thölen, A. R.; Gooch, D. J.

    1976-01-01

    Experimental evidence of the existence of 01 0 dislocations in the {2 0} prism planes in sapphire has been obtained by transmission electron microscopy. By the weak-beam technique it has been shown that the 01 0 dislocations may dissociate into three partials. The partials all have a Burgers vector...... of ⅓ 01 0 and are separated by two identical faults. The distance between two partials is in the range 75-135 Å, corresponding to a fault energy of 320±60 mJ/m2. Perfect 01 0 dislocations have also been observed. These dislocations exhibited either one or two peaks when imaged in the (03 0) reflection...

  19. Detection of solar neutrinos with a torsion balance with sapphire crystal

    Science.gov (United States)

    Cruceru, M.; Nicolescu, G.

    2018-01-01

    The solar neutrinos (antineutrinos) are detected with a dedicated torsion balance in the case when they interact coherently on stiff crystals (sapphire with high Debye temperature ∼1000K and lead with ∼100K Debye temperature). The balance consists in two equal masses of lead and sapphire, of 25g. An autocollimator coupled to this balance measures small rotation angles of the balance. The force with which neutrino flux interacts with these crystals is between 10-5 dyn and 10-8 dyn, comparable with that reported in Weber’s experiments [1]. A diurnal effect is observed for solar neutrinos due to the rotation of the Earth around its own axes. The solar neutrino flux obtained at the site of our experiment is ∼3.8*1010neutrinos/cm2*s [2]. Experimental data for neutrinos signals from this high sensitivity torsion balance are presented and commented [3].

  20. Ga2O3-In2O3 thin films on sapphire substrates: Synthesis and ultraviolet photoconductivity

    Science.gov (United States)

    Muslimov, A. E.; Butashin, A. V.; Kolymagin, A. B.; Nabatov, B. V.; Kanevsky, V. M.

    2017-11-01

    The structure and electrical and optical properties of β-Ga2O3-In2O3 thin films on sapphire substrates with different orientations have been investigated. The samples have been prepared by annealing of gallium-indium metallic films on sapphire substrates in air at different gallium-to-indium ratios in the initial mixture. The photoconductivity of these structures in the solar-blind ultraviolet spectral region has been examined.

  1. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  2. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  3. Effect of Ti:sapphire laser on shear bond strength of orthodontic brackets to ceramic surfaces.

    Science.gov (United States)

    Erdur, Emire Aybuke; Basciftci, Faruk Ayhan

    2015-08-01

    With increasing demand for orthodontic treatments in adults, orthodontists continue to debate the optimal way to prepare ceramic surfaces for bonding. This study evaluated the effects of a Ti:sapphire laser on the shear bond strength (SBS) of orthodontic brackets bonded to two ceramic surfaces (feldspathic and IPS Empress e-Max) and the results were compared with those using two other lasers (Er:YAG and Nd:YAG) and 'conventional' techniques, i.e., sandblasting (50 µm) and hydrofluoric (HF) acid. In total, 150 ceramic discs were prepared and divided into two groups. In each group, the following five subgroups were prepared: Ti:sapphire laser, Nd:YAG laser, Er:YAG laser, sandblasting, and HF acid. Mandibular incisor brackets were bonded using a light-cured adhesive. The samples were stored in distilled water for 24 hours at 37°C and then thermocycled. Extra samples were prepared and examined using scanning electron microscopy (SEM). SBS testing was performed and failure modes were classified. ANOVA and Tukey's HSD tests were used to compare SBS among the five subgroups (P < 0.05). Feldspathic and IPS Empress e-Max ceramics had similar SBS values. The Ti:sapphire femtosecond laser (16.76 ± 1.37 MPa) produced the highest mean bond strength, followed by sandblasting (12.79 ± 1.42 MPa) and HF acid (11.28 ± 1.26 MPa). The Er:YAG (5.43 ± 1.21 MPa) and Nd:YAG laser (5.36 ± 1.04 MPa) groups were similar and had the lowest SBS values. More homogeneous and regular surfaces were observed in the ablation pattern with the Ti:sapphire laser than with the other treatments by SEM analysis. Within the limitations of this in vitro study, Ti:sapphire laser- treated surfaces had the highest SBS values. Therefore, this technique may be useful for the pretreatment of ceramic surfaces as an alternative to 'conventional' techniques. © 2015 Wiley Periodicals, Inc.

  4. Defect formation and recrystallization in the silicon on sapphire films under Si{sup +} irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Shemukhin, A.A., E-mail: shemuhin@gmail.com [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Nazarov, A.V.; Balakshin, Yu. V. [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Chernysh, V.S. [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Faculty of Physics, Lomonosov Moscow State University, Moscow (Russian Federation)

    2015-07-01

    Silicon-on-sapphire (SOS) is one of the most promising silicon-on-insulator (SOI) technologies. SOS structures are widely used in microelectronics, but to meet modern requirements the silicon layer should be 100 nm thick or less. The problem is in amount of damage in the interface layer, which decreases the quality of the produced devices. In order to improve the crystalline structure quality SOS samples with 300 nm silicon layers were implanted with Si{sup +} ions with energies in the range from 180 up to 230 keV with fluences in the range from 10{sup 14} up to 5 × 10{sup 15} cm{sup −2} at 0 °C. The crystalline structure of the samples was studied with RBS and the interface layer was studied with SIMS after subsequent annealing. It has been found out that to obtain silicon films with high lattice quality it is necessary to damage the sapphire lattice near the silicon–sapphire interface. Complete destruction of the strongly defected area and subsequent recrystallization depends on the energy of implanted ions and the substrate temperature. No significant mixing in the interface layer was observed with the SIMS.

  5. Development of Cr,Nd:GSGG laser as a pumping source of Ti:sapphire laser

    International Nuclear Information System (INIS)

    Tamura, Koji; Arisawa, Takashi

    1999-08-01

    Since efficiency of Cr,Nd doped gadolinium scandium gallium garnet (GSGG) laser is in principle higher than that of Nd:YAG laser, it can be a highly efficient pumping source for Ti:sapphire laser. We have made GSGG laser, and measured its oscillation properties. It was two times more efficient than Nd:YAG laser at free running mode operation. At Q-switched mode operation, fundamental output of 50 mJ and second harmonics output of 8 mJ were obtained. The developed laser had appropriate spatial profile, temporal duration, long time stability for solid laser pumping. Ti:sapphire laser oscillation was achieved by the second harmonics of GSGG laser. (author)

  6. Characterization of sapphire: For its material properties at high temperatures

    Science.gov (United States)

    Bal, Harman Singh

    There are numerous needs for sensing, one of which is in pressure sensing for high temperature application such as combustion related process and embedded in aircraft wings for reusable space vehicles. Currently, silicon based MEMS technology is used for pressure sensing. However, due to material properties the sensors have a limited range of approximately 600 °C which is capable of being pushed towards 1000 °C with active cooling. This can introduce reliability issues when you add more parts and high flow rates to remove large amounts of heat. To overcome this challenge, sapphire is investigated for optical based pressure transducers at temperatures approaching 1400 °C. Due to its hardness and chemical inertness, traditional cutting and etching methods used in MEMS technology are not applicable. A method that is being investigated as a possible alternative is laser machining using a picosecond laser. In this research, we study the material property changes that occur from laser machining and quantify the changes with the experimental results obtained by testing sapphire at high-temperature with a standard 4-point bending set-up.

  7. Ultrafast third-harmonic generation from textured aluminum nitride-sapphire interfaces

    International Nuclear Information System (INIS)

    Stoker, D. S.; Keto, J. W.; Baek, J.; Wang, W.; Becker, M. F.; Kovar, D.

    2006-01-01

    We measured and modeled third-harmonic generation (THG) from an AlN thin film on sapphire using a time-domain approach appropriate for ultrafast lasers. Second-harmonic measurements indicated that polycrystalline AlN contains long-range crystal texture. An interface model for third-harmonic generation enabled an analytical representation of scanning THG (z-scan) experiments. Using it and accounting for Fresnel reflections, we measured the AlN-sapphire susceptibility ratio and estimated the susceptibility for aluminum nitride, χ xxxx (3) (3ω;ω,ω,ω)=1.52±0.25x10 -13 esu. The third-harmonic (TH) spectrum strongly depended on the laser focus position and sample thickness. The amplitude and phase of the frequency-domain interference were fit to the Fourier transform of the calculated time-domain field to improve the accuracy of several experimental parameters. We verified that the model works well for explaining TH signal amplitudes and spectral phase. Some anomalous features in the TH spectrum were observed, which we attributed to nonparaxial effects

  8. Direct pumping of ultrashort Ti:sapphire lasers by a frequency doubled diode laser

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2011-01-01

    electro-optical efficiency of the diode laser. Autocorrelation measurements show that pulse widths of less than 20 fs can be expected with an average power of 52 mW when using our laser. These results indicate the high potential of direct diode laser pumped Ti: sapphire lasers to be used in applications....... When using our diode laser system, the optical conversion efficiencies from green to near-infrared light reduces to 75 % of the values achieved with the commercial pump laser. Despite this reduction the overall efficiency of the Ti: sapphire laser is still increased by a factor > 2 due to the superior...... like retinal optical coherence tomography (OCT) or pumping of photonic crystal fibers for CARS (coherent anti-stokes Raman spectroscopy) microscopy....

  9. Electrical parameters of silicon on sapphire; influence on aluminium gate MOS devices performances

    International Nuclear Information System (INIS)

    Suat, J.P.; Borel, J.

    1976-01-01

    The question is the quality level of the substrate obtained with MOS technologies on silicon on an insulating substrate. Experimental results are presented on the main electrical parameters of MOS transistors made on silicon on sapphire, e.g. mean values and spreads of: threhold voltage and surface mobilities of transistors, breakdown voltages, and leakage currents of diodes. These devices have been made in three different technologies: enhancement P. channel technology, depletion-enhancement P. channel technology, and complementary MOS technology. These technologies are all aluminium gate processes with standard design rules and 5μm channel length. Measurements show that presently available silicon on sapphire can be considered as a very suitable substrate for many MOS digital applications (but not for dynamic circuits) [fr

  10. Gold wetting effects on sapphire irradiated with GeV uranium ions

    International Nuclear Information System (INIS)

    Ramos, S.M.M.

    1997-01-01

    Single crystals of α-Al 2 O 3 were irradiated with 238 U ions using two different energies: 3.4 MeV/u and 1.7 MeV/u. The irradiations were performed at a temperature of ∼80 K, with fluences ranging from 1.2 x 10 12 to 2.5 x 10 12 ions cm -2 . After irradiation, thin gold films were deposited on the sapphire surfaces by using a sputtering method. Subsequent annealing in air at a temperature of 723 and 923 K were applied to investigate the influence of the pre-damage on the adhesion of the gold layer on the sapphire surface. Rutherford backscattering analysis and scanning electron microscopy performed in both virgin and irradiated areas, show that the pre-irradiation damage inhibits the gold film of breaking up into islands after annealing. A wetting effect, which could depend on the damage morphology, is clearly observed. (orig.)

  11. Use of contact Nd:YAG sapphire-laser system for performing partial hepatectomy and splenectomy in dogs

    Science.gov (United States)

    Yu, Chibing; Jing, Shujuan; Cai, Huimin; Shao, Lanxing; Zou, Hegui

    1993-03-01

    An Nd:YAG Sapphire laser blade was used for performing hepatectomy and splenectomy in dogs. The results suggest that a laser blade provides a new way to reduce intraoperative bleeding and to minimize tissue damage. In recent years, there have been some reports on performing surgical procedures using a contact Nd:YAG Sapphire laser system. The current animal study was conducted in order to explore the capability of incision and excision of the laser tip, the damage to the tissue, and the recovery course.

  12. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  13. Time dependent temperature distribution in pulsed Ti:sapphire lasers

    Science.gov (United States)

    Buoncristiani, A. Martin; Byvik, Charles E.; Farrukh, Usamah O.

    1988-01-01

    An expression is derived for the time dependent temperature distribution in a finite solid state laser rod for an end-pumped beam of arbitrary shape. The specific case of end pumping by circular (constant) or Gaussian beam is described. The temperature profile for a single pump pulse and for repetitive pulse operation is discussed. The particular case of the temperature distribution in a pulsed titanium:sapphire rod is considered.

  14. Facet Appearance on the Lateral Face of Sapphire Single-Crystal Fibers during LHPG Growth

    Directory of Open Access Journals (Sweden)

    Liudmila D. Iskhakova

    2016-08-01

    Full Text Available Results of the study of the lateral surface of single-crystal (SC sapphire fibers grown along crystallographic directions [ 0001 ] and [ 11 2 ¯ 0 ] by the LHPG method are presented. The appearance or absence of faceting of the lateral surface of the fibers depending on the growth direction is analyzed. The crystallographic orientation of the facets is investigated. The microstructure of the samples is investigated with the help of an optical microscope and a JSM-5910LV scanning electronic microscope (JEOL. The crystallographic orientations of the facets on the SC sapphire fiber surface are determined by electron backscatter diffraction (EBSD. The seed orientation is studied by means of XRD techniques.

  15. Ultrafast, ultrahigh-peak power Ti:sapphire laser system

    Energy Technology Data Exchange (ETDEWEB)

    Yamakawa, Koichi; Aoyama, Makoto; Matsuoka, Shinichi; Akahane, Yutaka; Kase, Teiji; Nakano, Fumihiko; Sagisaka, Akito [Advanced Photon Research Center, Kansai Research Establishment, Japan Atomic Energy Research Inst., Kizu, Kyoto (Japan)

    2001-01-01

    We review progress in the generation of multiterawatt optical pulses in the 10-fs range. We describe a design, performance and characterization of a Ti:sapphire laser system based on chirped-pulse amplification, which has produced a peak power in excess of 100-TW with sub-20-fs pulse durations and an average power of 19-W at a 10-Hz repetition rate. We also discuss extension of this system to the petawatt power level and potential applications in the relativistic, ultrahigh intensity regimes. (author)

  16. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Contamination-Free Graphene Transfer from Cu-Foil and Cu-Thin-Film/Sapphire

    Directory of Open Access Journals (Sweden)

    Jaeyeong Lee

    2017-12-01

    Full Text Available The separation of graphene grown on metallic catalyst by chemical vapor deposition (CVD is essential for device applications. The transfer techniques of graphene from metallic catalyst to target substrate usually use the chemical etching method to dissolve the metallic catalyst. However, this causes not only high material cost but also environmental contamination in large-scale fabrication. We report a bubble transfer method to transfer graphene films to arbitrary substrate, which is nondestructive to both the graphene and the metallic catalyst. In addition, we report a type of metallic catalyst, which is 700 nm of Cu on sapphire substrate, which is hard enough to endure against any procedure in graphene growth and transfer. With the Cr adhesion layer between sapphire and Cu film, electrochemically delaminated graphene shows great quality during several growth cycles. The electrochemical bubble transfer method can offer high cost efficiency, little contamination and environmental advantages.

  18. High-rate sputter deposition of NiAl on sapphire fibers

    Energy Technology Data Exchange (ETDEWEB)

    Reichert, K.; Martinez, C.; Cremer, R.; Neuschuetz, D. [Lehrstuhl fuer Theoretische Huettenkunde, RWTH Aachen, Aachen (Germany)

    2002-07-01

    Once the fiber-matrix bonding has been optimized to meet the different requirements during fabrication and operation of the later composite component, sapphire fiber reinforced NiAl will be a potential candidate to substitute conventional superalloys as structural material for gas turbine blades. To improve the composite fabrication process, a direct deposition of the intermetallic matrix material onto hBN coated sapphire fibers prior to the consolidation of the fiber-matrix composite is proposed. It is believed that this will simplify the fabrication process and prevent pore formation during the diffusion bonding. In addition, the fiber volume fraction can be quite easily adjusted by varying the NiAl coating thickness. For this, a high-rate deposition of NiAl is in any case necessary. It has been achieved by a pulsed DC magnetron sputtering of combined Al-Ni targets with the fibers rotating between the two facing cathodes. The obtained nickel aluminide coatings were analyzed as to structure and composition by means of X-ray (GIXRD) as well as electron diffraction (RHEED) and X-ray photoelectron spectroscopy (XPS), respectively. The morphology of the NiAl coatings was examined by SEM. (orig.)

  19. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study.

    Science.gov (United States)

    Mohamed, Jauhar P; Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-08-01

    Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. The light absorption values obtained from spectrofluorometeric study were 3300000-3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000-6500000 cps for Group 2 (polycrystalline ceramic brackets) and 2700000 -3000000 cps for

  20. Erbium medium temperature localised doping into lithium niobate and sapphire: A comparative study

    Czech Academy of Sciences Publication Activity Database

    Nekvindová, P.; Macková, Anna; Peřina, Vratislav; Červená, Jarmila; Čapek, P.; Schrofel, J.; Špirková, J.; Oswald, Jiří

    90-91, - (2003), s. 559-564 ISSN 1012-0394 Institutional research plan: CEZ:AV0Z1048901 Keywords : lithium niobate * sapphire * erbium Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.687, year: 2003

  1. Photonics of 2D gold nanolayers on sapphire surface

    Energy Technology Data Exchange (ETDEWEB)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Nabatov, B. V. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation); Konovko, A. A.; Belov, I. V.; Gizetdinov, R. M.; Andreev, A. V. [Moscow State University (Russian Federation); Kanevsky, V. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation)

    2017-03-15

    Gold layers with thicknesses of up to several nanometers, including ordered and disordered 2D nanostructures of gold particles, have been formed on sapphire substrates; their morphology is described; and optical investigations are carried out. The possibility of increasing the accuracy of predicting the optical properties of gold layers and 2D nanostructures using quantum-mechanical models based on functional density theory calculation techniques is considered. The application potential of the obtained materials in photonics is estimated.

  2. Improvement in light-output efficiency of near-ultraviolet InGaN-GaN LEDs fabricated on stripe patterned sapphire substrates

    International Nuclear Information System (INIS)

    Lee, Y.J.; Hsu, T.C.; Kuo, H.C.; Wang, S.C.; Yang, Y.L.; Yen, S.N.; Chu, Y.T.; Shen, Y.J.; Hsieh, M.H.; Jou, M.J.; Lee, B.J.

    2005-01-01

    InGaN/GaN multi-quantum wells near ultraviolet light-emitting diodes (LEDs) were fabricated on a patterned sapphire substrate (PSS) with parallel stripe along the sapphire direction by using low-pressure metal-organic chemical vapor deposition (MOCVD). The forward- and reverse-bias electrical characteristics of the stripe PSS LEDs are, respectively, similar and better than those of conventional LEDs on sapphire substrate. The output power of the epoxy package of stripe PSS LED was 20% higher than that of the conventional LEDs. The enhancement of output power is due not only to the reduction of dislocation density but also to the release of the guided light in LEDs by the geometric shape of the stripe PSS, according to the ray-tracing analysis

  3. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding; Wu, Feng; Altahtamouni, Talal Mohammed Ahmad; Alfaraj, Nasir; Li, Kun; Detchprohm, Theeradetch; Dupuis, Russell; Li, Xiaohang

    2017-01-01

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  4. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding

    2017-08-08

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  5. Numerical investigation of thermal and residual stress of sapphire during c-axis vertical Bridgman growth process considering the solidification history effect

    Science.gov (United States)

    Hwang, Ji Hoon; Lee, Young Cheol; Lee, Wook Jin

    2018-01-01

    Sapphire single crystals have been highlighted for epitaxial of gallium nitride films in high-power laser and light emitting diode industries. In this study, the evolution of thermally induced stress in sapphire during the vertical Bridgman crystal growth process was investigated using a finite element model that simplified the real Bridgman process. A vertical Bridgman process of cylindrical sapphire crystal with a diameter of 50 mm was considered for the model. The solidification history effect during the growth was modeled by the quite element technique. The effects of temperature gradient, seeding interface shape and seeding position on the thermal stress during the process were discussed based on the finite element analysis results.

  6. Characterization of single crystal uranium-oxide thin films grown via reactive-gas magnetron sputtering on yttria-stabilized zirconia and sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Strehle, Melissa M.; Heuser, Brent J., E-mail: bheuser@illinois.edu; Elbakhshwan, Mohamed S.; Han Xiaochun; Gennardo, David J.; Pappas, Harrison K.; Ju, Hyunsu

    2012-06-30

    The microstructure and valence states of three single crystal thin film systems, UO{sub 2} on (11{sup Macron }02) r-plane sapphire, UO{sub 2} on (001) yttria-stabilized zirconia, and U{sub 3}O{sub 8} on (11{sup Macron }02) r-plane sapphire, grown via reactive-gas magnetron sputtering are analyzed primarily with X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and ultraviolet photoelectron spectroscopy (UPS). XRD analysis indicates the growth of single crystal domains with varying degrees of mosaicity. XPS and UPS analyses yield U-4f, U-5f, O-1s, and O-2p electron binding energies consistent with reported bulk values. A change from p-type to n-type semiconductor behavior induced by preferential sputtering of oxygen during depth profile analysis was observed with both XPS and UPS. Trivalent cation impurities (Nd and Al) in UO{sub 2} lower the Fermi level, shifting the XPS spectral weight. This observation is consistent with hole-doping of a Mott-Hubbard insulator. The uranium oxide-(11{sup Macron }02) sapphire system is unstable with respect to Al interdiffusion across the film-substrate interface at elevated temperature. - Highlights: Black-Right-Pointing-Pointer Single crystal uranium-oxides grown on sapphire and yttria-stabilized zirconia. Black-Right-Pointing-Pointer Anion and cation valence states studied by photoelectron emission spectroscopy. Black-Right-Pointing-Pointer Trivalent Nd and Al impurities lower the Fermi level. Black-Right-Pointing-Pointer Uranium-oxide films on sapphire found to be unstable with respect to Al interdiffusion.

  7. Ultrasensitive label-free detection of DNA hybridization by sapphire-based graphene field-effect transistor biosensor

    Science.gov (United States)

    Xu, Shicai; Jiang, Shouzhen; Zhang, Chao; Yue, Weiwei; Zou, Yan; Wang, Guiying; Liu, Huilan; Zhang, Xiumei; Li, Mingzhen; Zhu, Zhanshou; Wang, Jihua

    2018-01-01

    Graphene has attracted much attention in biosensing applications for its unique properties. Because of one-atom layer structure, every atom of graphene is exposed to the environment, making the electronic properties of graphene are very sensitive to charged analytes. Therefore, graphene is an ideal material for transistors in high-performance sensors. Chemical vapor deposition (CVD) method has been demonstrated the most successful method for fabricating large area graphene. However, the conventional CVD methods can only grow graphene on metallic substrate and the graphene has to be transferred to the insulating substrate for further device fabrication. The transfer process creates wrinkles, cracks, or tears on the graphene, which severely degrade electrical properties of graphene. These factors severely degrade the sensing performance of graphene. Here, we directly fabricated graphene on sapphire substrate by high temperature CVD without the use of metal catalysts. The sapphire-based graphene was patterned and make into a DNA biosensor in the configuration of field-effect transistor. The sensors show high performance and achieve the DNA detection sensitivity as low as 100 fM (10-13 M), which is at least 10 times lower than prior transferred CVD G-FET DNA sensors. The use of the sapphire-based G-FETs suggests a promising future for biosensing applications.

  8. Growth of vertically oriented InN nanorods from In-rich conditions on unintentionally patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Terziyska, Penka T., E-mail: pterziy1@lakeheadu.ca [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); Butcher, Kenneth Scott A. [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada); Rafailov, Peter [Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Alexandrov, Dimiter [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada)

    2015-10-30

    Highlights: • Vertical InN nanorods are grown on selective areas of sapphire substrates. • In metal droplets nucleate on the sharp needle apexes on the selective areas. • The preferred orientation and the growth direction of the nanorods are (0 0 0 1). • The nanorods grow from the supersaturated indium melt on their tops. - Abstract: Vertically oriented InN nanorods were grown on selective areas of unintentionally patterned c-oriented sapphire substrates exhibiting sharp needles that preferentially accommodate In-metal liquid droplets, using Migration Enhanced Afterglow (MEAglow) growth technique. We point out that the formation of AlN needles on selected areas can be reproduced intentionally by over-nitridation of unmasked areas of sapphire substrates. The liquid indium droplets serve as a self-catalyst and the nanorods grow from the supersaturated indium melt in the droplet in a vertical direction. X-ray diffraction measurements indicate the presence of hexagonal InN only, with preferred orientation along (0 0 0 1) crystal axis, and very good crystalline quality. The room temperature Raman spectrum shows the presence of the A{sub 1}(TO), E{sub 2}(high) and A{sub 1}(LO) phonon modes of the hexagonal InN.

  9. Superconducting accelerometer using niobium-on-sapphire rf resonator

    International Nuclear Information System (INIS)

    Blair, D.G.

    1979-01-01

    An accelerometer is described which uses a rf niobium-on-sapphire resonator as its sensor element. The accelerometer uses a magnetically levitated spool as a test mass and the spool modulates the inductance of the resonator; its position is servo controlled to maintain the resonator at the external rf excitation frequency. The accelerometer has high sensitivity over the full audio frequency range, but is optimized for frequencies between 100 Hz and 1 kHz, where the calculated displacement sensitivity approaches 10 -15 cm for a 1 Hz measurement bandwidth. The system noise sources are analyzed and possible improvements are discussed

  10. "You Hafta Push": Using Sapphire's Novel to Teach Introduction to American Government

    Science.gov (United States)

    Pappas, Christine

    2007-01-01

    Using fiction in the classroom can dramatize public policy issues and political science concepts, therefore, making them more real and relevant to students. Sapphire's 1996 novel "Push" puts a face on welfare, rape, incest, child abuse, educational inequalities, homophobia, and AIDS. I also use this novel to discuss the public policy process,…

  11. Phase formation and strain relaxation of Ga2O3 on c-plane and a-plane sapphire substrates as studied by synchrotron-based x-ray diffraction

    Science.gov (United States)

    Cheng, Zongzhe; Hanke, Michael; Vogt, Patrick; Bierwagen, Oliver; Trampert, Achim

    2017-10-01

    Heteroepitaxial Ga2O3 was deposited on c-plane and a-plane oriented sapphire by plasma-assisted molecular beam epitaxy and probed by ex-situ and in-situ synchrotron-based x-ray diffraction. The investigation on c-plane sapphire determined a critical thickness of around 33 Å, at which the monoclinic β-phase forms on top of the hexagonal α-phase. A 143 Å thick single phase α-Ga2O3 was observed on a-plane sapphire, much thicker than the α-Ga2O3 on c-plane sapphire. The α-Ga2O3 relaxed very fast in the first 30 Å in both out-of-plane and in-plane directions as measured by the in-situ study.

  12. Epitaxial growth of InN on c-plane sapphire by pulsed laser deposition with r.f. nitrogen radical source

    International Nuclear Information System (INIS)

    Ohta, J.; Fujioka, H.; Honke, T.; Oshima, M.

    2004-01-01

    We have grown InN films on c-plane sapphire substrates by pulsed laser deposition (PLD) with a radio frequency nitrogen radical source for the first time and investigated the effect of the substrate surface nitridation on the structural and electrical properties of InN films with reflection high energy electron diffraction (RHEED), atomic force microscope, the Hall effect measurements and high-resolution X-ray diffraction (HRXRD). RHEED and HRXRD characterizations revealed that high-quality InN grows epitaxially on sapphire by PLD and its epitaxial relationship is InN (0 0 0 1) parallel sapphire (0 0 0 1) and InN [2 -1 -1 0] parallel sapphire [1 0 -1 0]. The InN crystalline quality and the electron mobility are improved by the substrate nitridation process. The area of the pits at the InN surface is reduced by the substrate nitridation process probably due to the reduction in the interface energy between InN and the substrate. The full width at half maximum of the -1 -1 2 4 X-ray rocking curve for InN grown by the present technique without using any buffer layers was as small as 34.8 arcmin. These results indicate that the present technique is promising for the growth of the high-quality InN films

  13. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  14. Wetting phenomena of Al-Cu alloys on sapphire below 800 deg. C

    International Nuclear Information System (INIS)

    Klinter, Andreas J.; Leon-Patino, Carlos A.; Drew, Robin A.L.

    2010-01-01

    Using a modified dispensed drop method, a decrease in contact angle on sapphire from pure aluminum to low-copper-containing Al alloys (7-12 wt.%) was found; with higher copper additions θ transitions to the non-wetting regime. Atomic force microscopy on long-term samples showed a significantly increased surface roughness beneath the drop. Using high-resolution transmission electron microscopy, the reaction product at the interface was identified as CuAl 2 O 4 for Al-7Cu and Al 2 O 3 for an Al-99.99 drop. X-ray photoelectron spectroscopy further confirmed the formation of CuAl 2 O 4 under CuAl 2 drops. Spinel formation is caused by reaction of the alloy with residual oxygen in the furnace that is transported along the interface as modeled by thermodynamic simulations. The formation of CuAl 2 O 4 causes the reduced σ sl and hence the improved wettability of sapphire by low-copper-containing alloys compared to pure aluminum. The main reason for the increase in θ with higher copper contents is the increasing σ lv of the alloy.

  15. Green-diode-pumped femtosecond Ti:Sapphire laser with up to 450 mW average power.

    Science.gov (United States)

    Gürel, K; Wittwer, V J; Hoffmann, M; Saraceno, C J; Hakobyan, S; Resan, B; Rohrbacher, A; Weingarten, K; Schilt, S; Südmeyer, T

    2015-11-16

    We investigate power-scaling of green-diode-pumped Ti:Sapphire lasers in continuous-wave (CW) and mode-locked operation. In a first configuration with a total pump power of up to 2 W incident onto the crystal, we achieved a CW power of up to 440 mW and self-starting mode-locking with up to 200 mW average power in 68-fs pulses using semiconductor saturable absorber mirror (SESAM) as saturable absorber. In a second configuration with up to 3 W of pump power incident onto the crystal, we achieved up to 650 mW in CW operation and up to 450 mW in 58-fs pulses using Kerr-lens mode-locking (KLM). The shortest pulse duration was 39 fs, which was achieved at 350 mW average power using KLM. The mode-locked laser generates a pulse train at repetition rates around 400 MHz. No complex cooling system is required: neither the SESAM nor the Ti:Sapphire crystal is actively cooled, only air cooling is applied to the pump diodes using a small fan. Because of mass production for laser displays, we expect that prices for green laser diodes will become very favorable in the near future, opening the door for low-cost Ti:Sapphire lasers. This will be highly attractive for potential mass applications such as biomedical imaging and sensing.

  16. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    Science.gov (United States)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  17. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Micro-Structured Sapphire Fiber Sensors for Simultaneous Measurements of High-T and Dynamic Gas Pressure in Harsh Environments

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Hai [Clemson Univ., SC (United States); Tsai, Hai-Lung [Missouri Univ. of Science and Technology, Rolla, MO (United States); Dong, Junhang [Univ. of Cincinnati, OH (United States)

    2014-09-30

    This is the final report for the program “Micro-Structured Sapphire Fiber Sensors for Simultaneous Measurements of High Temperature and Dynamic Gas Pressure in Harsh Environments”, funded by NETL, and performed by Missouri University of Science and Technology, Clemson University and University of Cincinnati from October 1, 2009 to September 30, 2014. Securing a sustainable energy economy by developing affordable and clean energy from coal and other fossil fuels is a central element to the mission of The U.S. Department of Energy’s (DOE) National Energy Technology Laboratory (NETL). To further this mission, NETL funds research and development of novel sensor technologies that can function under the extreme operating conditions often found in advanced power systems. The main objective of this research program is to conduct fundamental and applied research that will lead to successful development and demonstration of robust, multiplexed, microstructured silica and single-crystal sapphire fiber sensors to be deployed into the hot zones of advanced power and fuel systems for simultaneous measurements of high temperature and gas pressure. The specific objectives of this research program include: 1) Design, fabrication and demonstration of multiplexed, robust silica and sapphire fiber temperature and dynamic gas pressure sensors that can survive and maintain fully operational in high-temperature harsh environments. 2) Development and demonstration of a novel method to demodulate the multiplexed interferograms for simultaneous measurements of temperature and gas pressure in harsh environments. 3) Development and demonstration of novel sapphire fiber cladding and low numerical aperture (NA) excitation techniques to assure high signal integrity and sensor robustness.

  20. Epitactical FeAl films on sapphire and their magnetic properties

    International Nuclear Information System (INIS)

    Trautvetter, Moritz

    2011-01-01

    In the presented thesis epitaxial FeAl thin films on sapphire have been prepared by pulse laser deposition (PLD). The thin films deposited at room temperature exhibits ferromagnetism and subsequent annealing is necessary to transform the thin films to paramagnetic B2-phase, where the transition temperature depends on the crystalline orientation of the sapphire substrate. Alternatively, by deposition at higher substrate temperature the B2-phase is obtained directly. However, morphology of the FeAl film is influenced by different growth modes resulting from different substrate temperatures. The paramagnetic FeAl films can then be transformed to ferromagnetic phase by successive ion irradiation. Independent of the ion species used for irradiation, the same universal relation between thin films' coercive fields and irradiation damage is identified. The ion irradiation ferromagnetism can be transformed back to paramagnetism by subsequent annealing. The mutual transition between ferromagnetic and paramagnetic phases has been performed several times and shows full reversibility. The ferromagnetic phase induced by Kr + irradiation exhibits structural relaxation, where the saturate magnetization of FeAl thin film gradually decreases in several days. Later, ion irradiation has been performed selectively on defined areas of the thin film with the help of an unconventional lithography technique. The subsequent thin film is composed of ordered hexagonal array of ferromagnetic nano-cylinders separated by a paramagnetic matrix, suggesting a promising system for magnetic data storage. (orig.)

  1. A Novel Method for Measurements of the Penetration Depth of MgB2 Superconductor Films by Using Sapphire Resonators with Short-Circuited Parallel Plates

    International Nuclear Information System (INIS)

    Jung, Ho Sang; Lee, J. H.; Cho, Y. H.; Lee, Sang Young; Seong, W. K.; Lee, N. H.; Kang, W. N.

    2009-01-01

    We introduce a measurement method that enables to measure the penetration depth(λ) of superconductor films by using a short-ended parallel plate sapphire resonator. Variations in the (λof MgB 2 films could be measured down to the lowest temperature using a sapphire resonator with a YBa 2 Cu 3 O 7-x film at the bottom. A model equation of λλ 0 [1-(T/T c ) τ ] -1/2 for MgB 2 films appeared to describe the observed variations of the resonant frequency of the sapphire resonator with temperature, with λ 0 , τ and T c used as the fitting parameters.

  2. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D., E-mail: dupuis@gatech.edu [Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332-0250 (United States); Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael [Technical University of Berlin, Institute for Solid State Physics, Berlin D-10623 (Germany)

    2014-10-06

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm{sup 2} and 95 kW/cm{sup 2} at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  3. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    International Nuclear Information System (INIS)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D.; Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A.; Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael

    2014-01-01

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm 2 and 95 kW/cm 2 at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  4. Unusual ruby-sapphire transition in alluvial megacrysts, Cenozoic basaltic gem field, New England, New South Wales, Australia

    Science.gov (United States)

    Sutherland, Frederick L.; Graham, Ian T.; Harris, Stephen J.; Coldham, Terry; Powell, William; Belousova, Elena A.; Martin, Laure

    2017-05-01

    Rare ruby crystals appear among prevailing sapphire crystals mined from placers within basaltic areas in the New England gem-field, New South Wales, Australia. New England ruby (NER) has distinctive trace element features compared to those from ruby elsewhere in Australia and indeed most ruby from across the world. The NER suite includes ruby (up to 3370 ppm Cr), pink sapphire (up to 1520 ppm Cr), white sapphire (up to 910 ppm) and violet, mauve, purple, or bluish sapphire (up to 1410 ppm Cr). Some crystals show outward growth banding in this respective colour sequence. All four colour zones are notably high in Ga (up to 310 ppm) and Si (up to 1820 ppm). High Ga and Ga/Mg values are unusual in ruby and its trace element plots (laser ablation-inductively coupled plasma-mass spectrometry) and suggests that magmatic-metasomatic inputs were involved in the NER suite genesis. In situ oxygen isotope analyses (secondary ion mass spectrometry) across the NER suite colour range showed little variation (n = 22; δ18O = 4.4 ± 0.4, 2σ error), and are values typical for corundum associated with ultramafic/mafic rocks. The isolated NER xenocryst suite, corroded by basalt transport and with few internal inclusions, presents a challenge in deciphering its exact origin. Detailed consideration of its high Ga chemistry in relation to the known geology of the surrounding region was used to narrow down potential sources. These include Late Palaeozoic-Triassic fractionated I-type granitoid magmas or Mesozoic-Cenozoic felsic fractionates from basaltic magmas that interacted with early Palaeozoic Cr-bearing ophiolite bodies in the New England Orogen. Other potential sources may lie deeper within lower crust-mantle metamorphic assemblages, but need to match the anomalous high-Ga geochemistry of the New England ruby suite.

  5. Refractive index of r-cut sapphire under shock pressure range 5 to 65 GPa

    International Nuclear Information System (INIS)

    Cao, Xiuxia; Li, Jiabo; Li, Jun; Li, Xuhai; Xu, Liang; Wang, Yuan; Zhu, Wenjun; Meng, Chuanmin; Zhou, Xianming

    2014-01-01

    High-pressure refractive index of optical window materials not only can provide information on electronic polarizability and band-gap structure, but also is important for velocity correction in particle-velocity measurement with laser interferometers. In this work, the refractive index of r-cut sapphire window at 1550 nm wavelength was measured under shock pressures of 5–65 GPa. The refractive index (n) decreases linearly with increasing shock density (ρ) for shock stress above the Hugoniot elastic limit (HEL): n = 2.0485 (± 0.0197) − 0.0729 (± 0.0043)ρ, while n remains nearly a constant for elastic shocks. This behavior is attributed to the transition from elastic (below HEL) to heterogeneous plastic deformation (above HEL). Based on the obtained refractive index-density relationship, polarizability of the shocked sapphire was also obtained

  6. Wetting phenomena of Al-Cu alloys on sapphire below 800 deg. C

    Energy Technology Data Exchange (ETDEWEB)

    Klinter, Andreas J., E-mail: andreas.klinter@mail.mcgill.ca [Mining and Materials Engineering, McGill University, M.H. Wong Building, 3610 University Street, Montreal, QC, H3A 2B2 (Canada); Leon-Patino, Carlos A. [Instituto de Investigaciones Metalurgicas, Universidad Michoacana de San Nicolas de Hidalgo, Apdo. Postal 888, CP 58000 Morelia, Michoacan (Mexico); Drew, Robin A.L. [Faculty of Engineering and Computer Science, Concordia University, 1455 Maisonneuve Blvd, EV 2.169, Montreal, QC, H3G 1M8 (Canada)

    2010-02-15

    Using a modified dispensed drop method, a decrease in contact angle on sapphire from pure aluminum to low-copper-containing Al alloys (7-12 wt.%) was found; with higher copper additions {theta} transitions to the non-wetting regime. Atomic force microscopy on long-term samples showed a significantly increased surface roughness beneath the drop. Using high-resolution transmission electron microscopy, the reaction product at the interface was identified as CuAl{sub 2}O{sub 4} for Al-7Cu and Al{sub 2}O{sub 3} for an Al-99.99 drop. X-ray photoelectron spectroscopy further confirmed the formation of CuAl{sub 2}O{sub 4} under CuAl{sub 2} drops. Spinel formation is caused by reaction of the alloy with residual oxygen in the furnace that is transported along the interface as modeled by thermodynamic simulations. The formation of CuAl{sub 2}O{sub 4} causes the reduced {sigma}{sub sl} and hence the improved wettability of sapphire by low-copper-containing alloys compared to pure aluminum. The main reason for the increase in {theta} with higher copper contents is the increasing {sigma}{sub lv} of the alloy.

  7. Properties of the generation of radiation in the near infrared part of the spectrum with a sapphire crystal laser having radiation-induced color centers

    International Nuclear Information System (INIS)

    Voitovich, A.P.; Grinkevich, V.E.; Kononov, V.A.; Kromskii, G.I.

    1986-01-01

    This paper investigates the spectral stability of the color centers in sapphire and the energy of lasers in which the active elements were colored with various techniques. Color centers were produced by neutron irradiation. The absorption spectra of the color centers are shown. The transformation of the spectra shows that the mutual conversions of color centers takes place during the thermal annealing of the sapphire; most of the color centers formed have luminescence. Generation or radiation with a tunable frequency was obtained in the case of transverse or quasi-longitudinal excitation by a ruby laser. The results show that ways for increasing the stability of the energy generated by a sapphire laser with color centers can be found

  8. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Femtosecond Ti:sapphire cryogenic amplifier with high gain and MHz repetition rate

    DEFF Research Database (Denmark)

    Dantan, Aurelien Romain; Laurat, Julien; Ourjoumtsev, Alexei

    2007-01-01

    We demonstrate high gain amplification of 160-femtosecond pulses in a compact double-pass cryogenic Ti:sapphire amplifier. The setup involves a negative GVD mirrors recompression stage, and operates with a repetition rate between 0.2 and 4 MHz with a continuous pump laser. Amplification factors a...... as high as 17 and 320 nJ Fourier-limited pulses are obtained at a 800 kHz repetition rate....

  10. Transformation of a Plane Wavefront in Hemispherical Lenses Made of Leuco-Sapphire

    Science.gov (United States)

    Vetrov, V. N.; Ignatenkov, B. A.; Yakobson, V. E.

    2018-01-01

    An algorithm for wavefront calculation of ordinary and extraordinary waves after propagation through hemispherical components made of a uniaxial crystal is developed. The influence of frequency dispersion of n o and n e , as well as change in the direction of the optic axis of the crystal, on extraordinary wavefront in hemispheres made of from leuco-sapphire and a plastically deformed analog thereof is determined.

  11. 16 CFR 23.23 - Misuse of the words “ruby,” “sapphire,” “emerald,” “topaz,” “stone,” “birthstone,” “gemstone,” etc.

    Science.gov (United States)

    2010-01-01

    ... 16 Commercial Practices 1 2010-01-01 2010-01-01 false Misuse of the words âruby,â âsapphire,â... PEWTER INDUSTRIES § 23.23 Misuse of the words “ruby,” “sapphire,” “emerald,” “topaz,” “stone,” “birthstone,” “gemstone,” etc. (a) It is unfair or deceptive to use the unqualified words “ruby,” “sapphire...

  12. Structural characterization of AgGaTe{sub 2} layers grown on a- and c-sapphire substrates by a closed space sublimation method

    Energy Technology Data Exchange (ETDEWEB)

    Uruno, Aya; Usui, Ayaka [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2014-07-15

    AgGaTe{sub 2} layers were grown on a- and c-plane sapphire substrates by a closed space sublimation method with varying the source temperature. Grown films were evaluated by θ -2θ and pole figure measurements of X-ray diffraction. AgGaTe{sub 2} layers were grown to have strong preference for the (103) orientation. However, it was cleared the Ag{sub 5}Te{sub 3} was formed along with the AgGaTe{sub 2} when the layer was grown on c-plane sapphire. The orientation of the film was analyzed by using the pole figure, and resulted in AgGaTe{sub 2} without Ag{sub 5}Te{sub 3} layers could be grown on a-plane sapphire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Secondary electron emission of sapphire tungsten molybdenum and titanium for Maxwellian incident electrons

    International Nuclear Information System (INIS)

    Saussez-Hublet, M.-C.; Harbour, P.J.

    1980-06-01

    The second electron emission coefficient of various materials, namely titanium, molybdenum, tungsten and sapphire, has been calculated for a Maxwellian energy distribution from data for a normally incident monoenergetic beam of primary electrons. The most significant difference from the monoenergetic case occurs at low energies. In addition the influence of the incident angle of the electrons is discussed. (author)

  14. An All-Solid-State High Repetiton Rate Titanium:Sapphire Laser System For Resonance Ionization Laser Ion Sources

    Science.gov (United States)

    Mattolat, C.; Rothe, S.; Schwellnus, F.; Gottwald, T.; Raeder, S.; Wendt, K.

    2009-03-01

    On-line production facilities for radioactive isotopes nowadays heavily rely on resonance ionization laser ion sources due to their demonstrated unsurpassed efficiency and elemental selectivity. Powerful high repetition rate tunable pulsed dye or Ti:sapphire lasers can be used for this purpose. To counteract limitations of short pulse pump lasers, as needed for dye laser pumping, i.e. copper vapor lasers, which include high maintenance and nevertheless often only imperfect reliability, an all-solid-state Nd:YAG pumped Ti:sapphire laser system has been constructed. This could complement or even replace dye laser systems, eliminating their disadvantages but on the other hand introduce shortcomings on the side of the available wavelength range. Pros and cons of these developments will be discussed.

  15. Performance of Ar+-milled Ti:Sapphire rib waveguides as single transverse-mode broadband fluorescence sources

    NARCIS (Netherlands)

    Grivas, C.; Shepherd, D.P.; May-Smith, T.C.; Eason, R.W.; Pollnau, Markus; Crunteanu, A.; Jelinek, M.

    2003-01-01

    Rib waveguides have been fabricated in pulsed-laser-deposited Ti:sapphire layers using photolithographic patterning and subsequent Ar+-beam milling. Fluorescence output powers up to 300 W have been observed from the ribs following excitation by a 3-W multiline argon laser. Mode intensity profiles

  16. CMOS Silicon-on-Sapphire RF Tunable Matching Networks

    Directory of Open Access Journals (Sweden)

    Chamseddine Ahmad

    2006-01-01

    Full Text Available This paper describes the design and optimization of an RF tunable network capable of matching highly mismatched loads to 50 at 1.9 GHz. Tuning was achieved using switched capacitors with low-loss, single-transistor switches. Simulations show that the performance of the matching network depends strongly on the switch performances and on the inductor losses. A 0.5 m silicon-on-sapphire (SOS CMOS technology was chosen for network implementation because of the relatively high-quality monolithic inductors achievable in the process. The matching network provides very good matching for inductive loads, and acceptable matching for highly capacitive loads. A 1 dB compression point greater than dBm was obtained for a wide range of load impedances.

  17. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  18. Second Breakdown Susceptibility of Silicon-On-Sapphire Diodes having Systematically Different Geometries.

    Science.gov (United States)

    1980-05-30

    Sunshine’s experiments less enlight - ening than they might otherwise have been. First, changes in optical transmittance could not be correlated directly to...silicon- on-sapphire technology ) and the orientation of the silicon surface ex- posed to the oxide layer44 ,46 ,4 7,51. Not enough data were taken to at...success. With rapid progress of semi- conductor technology , such simplified and largely intuitive methods proved to be inadequate for dealing with

  19. Acoustic mismatch model and thermal phonon radiation across a tin/sapphire interface with radiation temperatures between 1.6 and 3.7 K

    International Nuclear Information System (INIS)

    Bayrle, R.; Weis, O.

    1989-01-01

    Using a special sandwich arrangement consisting of a constantan film, an insulating oxide layer and a superconducting tin-tunnel junction evaporated on an a-cut sapphire, the temperature jump between tin and sapphire has been measured as function of thermal phonon flux under steady-state and transient conditions using rectangular current pulses in the constantan heater. The tunnel junction serves as a very fast thermometer with a time resolution in the nanosecond range. During the steady-state and the heatup interval, full agreement is found between experimental results, and the predictions of the acoustic mismatch model applied to the phonon transfer across the tin/sapphire interface and under the additional assumption that thermal equilibrium exists between electrons and phonons (one-temperature model). In contrast, very strong deviations are found during the cooling process which starts immediately after the end of the heating pulses. This observed nonequilibrium between electron and phonon system is discussed in more detail in a subsequent paper

  20. Intracavity doubling of CW Ti:sapphire laser to 392.5 nm using BiBO-crystal

    DEFF Research Database (Denmark)

    Mortensen, Jesper Liltorp; Thorhauge, Morten; Tidemand-Lichtenberg, Peter

    2005-01-01

    In this work we present results obtained for intra-cavity frequency-doubling of a 785 nm CW Ti:sapphire laser utilising BiBO as the non-linear crystal. Intracavity doubling offers several advantages compared to extra-cavity doubling, such as no need to couple to an external resonance cavity...

  1. Large scale metal-free synthesis of graphene on sapphire and transfer-free device fabrication.

    Science.gov (United States)

    Song, Hyun Jae; Son, Minhyeok; Park, Chibeom; Lim, Hyunseob; Levendorf, Mark P; Tsen, Adam W; Park, Jiwoong; Choi, Hee Cheul

    2012-05-21

    Metal catalyst-free growth of large scale single layer graphene film on a sapphire substrate by a chemical vapor deposition (CVD) process at 950 °C is demonstrated. A top-gated graphene field effect transistor (FET) device is successfully fabricated without any transfer process. The detailed growth process is investigated by the atomic force microscopy (AFM) studies.

  2. Frictional interactions in forming processes: New studies with transparent sapphire strip-drawing dies

    Science.gov (United States)

    Rao, R. S.; Lu, C. Y.; Wright, P. K.; Devenpeck, M. L.; Richmond, O.; Appleby, E. J.

    1982-05-01

    This research is concerned with the frictional interactions at the toolwork interfaces in the machining and strip-drawing processes. A novel feature is that transparent sapphire (single crystal Al2O3) is being used as the tool and die material. This allows the tribological features of the interface to be directly observed and recorded on movie-film. These qualitative studies provide information on the role of lubricants. In addition, techniques are being developed to quantify the velocity gradient along the interface. For example, in the drawing work it has been found that tracer markings (e.g. dye-spots), applied to the undrawn strip, remain intact during drawing and can be tracked along the sapphire/strip interface. Such data will be used as input to a finite-element, elasto-plastic-workhardening model of the deformation process. The latter can compute strip deformation characteristics, drawing forces and local coefficients of friction at the interface. Introductory results will be presented in this paper, obtained from drawing tin-plated mild steel with sapphire and cemented carbide dies. Drawing loads and die-separating forces will be presented and movie-films of the action of tracer markings at the interface shown. In order to demonstrate how this data can be used in an analysis of a large strain deformation process with friction, initial results from running the FIPDEF elasto-plastic code will be discussed. From a commercial viewpoint research on strip-drawing is of special interest to the can-making industry. From a physical viewpoint stripdrawing is of particular interest because it is a symmetrical, plane strain deformation and, in comparison with other metal processing operations, it is more readily modeled. However, until now the elasto-plastic codes that have been developed to predictively model drawing have had limitations: the most notable being that of quantifying the friction conditions at the die-work interface. Hence the specification of the

  3. Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.

    Science.gov (United States)

    Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto

    2016-11-21

    The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.

  4. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  5. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  6. 78 FR 56691 - Sapphire Power Marketing LLC; Supplemental Notice That Initial Market-Based Rate Filing Includes...

    Science.gov (United States)

    2013-09-13

    ... Power Marketing LLC; Supplemental Notice That Initial Market-Based Rate Filing Includes Request for... Sapphire Power Marketing LLC's application for market-based rate authority, with an accompanying rate... submission of protests and interventions in lieu of paper, using the FERC Online links at http://www.ferc.gov...

  7. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hao; Li, Yufeng; Wang, Shuai; Feng, Lungang; Xiong, Han; Yun, Feng, E-mail: fyun2010@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of Ministry of Education and Shaanxi Provincial Key Laboratory of Photonics and Information Technology, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Solid-State Lighting Engineering Research Center, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Su, Xilin [Shaanxi Supernova Lighting Technology Co., Ltd., Xi’an, Shaanxi 710075 (China)

    2016-07-15

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%. Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.

  8. 5-nJ Femtosecond Ti3+:sapphire laser pumped with a single 1 W green diode

    Science.gov (United States)

    Muti, Abdullah; Kocabas, Askin; Sennaroglu, Alphan

    2018-05-01

    We report a Kerr-lens mode-locked, extended-cavity femtosecond Ti3+:sapphire laser directly pumped at 520 nm with a 1 W AlInGaN green diode. To obtain energy scaling, the short x-cavity was extended with a q-preserving multi-pass cavity to reduce the pulse repetition rate to 5.78 MHz. With 880 mW of incident pump power, we obtained as high as 90 mW of continuous-wave output power from the short cavity by using a 3% output coupler. In the Kerr-lens mode-locked regime, the extended cavity produced nearly transform-limited 95 fs pulses at 776 nm. The resulting energy and peak power of the pulses were 5.1 nJ and 53 kW, respectively. To our knowledge, this represents the highest pulse energy directly obtained to date from a mode-locked, single-diode-pumped Ti3+:sapphire laser.

  9. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Tuning the sapphire EFG process to the growth of Al2O3/YAG/ZrO2:Y eutectic

    Science.gov (United States)

    Carroz, L.; Duffar, T.

    2018-05-01

    In this work, a model is proposed, in order to analytically study the working point of the Edge defined Film-fed Growth (EFG) pulling of crystal plates. The model takes into account the heat equilibrium at the interface and the pressure equilibrium across the meniscus. It is validated on an industrial device dedicated to the pulling of sapphire ribbons. Then, the model is applied to pulling ceramic alloy plates, of the ternary eutectic Al2O3/YAG/ZrO2:Y. This allowed understanding the experimental difficulties of pulling this new material and suggested improvements of the control software. From these results, pulling net shaped ceramic alloy plates was successful in the same industrial equipment as used for sapphire.

  12. Properties of grazing-incidence pulsed Ti:sapphire laser oscillator

    International Nuclear Information System (INIS)

    Tamura, Koji

    2008-03-01

    A pulsed operation of a grazing-incidence double-grating Ti:sapphire laser oscillator that consists of a gain medium, back mirror, and a pair of gratings, was studied. A stable single-longitudinal-mode operation was achievable. From the calculation of the optical path trajectories, it can be explained by the increased beam walk-off from the gain medium by the introduction of the second grating compared with the conventional single-grating grazing-incidence cavity geometry. The improved spectral property was also explained by the calculations of increased dispersion. The results indicate that the oscillator configuration was useful for the applications which require stable mode operation and narrow linewidth such as the high resolution spectroscopy or the laser isotope separation. (author)

  13. Laser ablation of dental calculus at 400 nm using a Ti:sapphire laser

    Science.gov (United States)

    Schoenly, Joshua E.; Seka, Wolf; Rechmann, Peter

    2009-02-01

    A Nd:YAG laser-pumped, frequency-doubled Ti:sapphire laser is used for selective ablation of calculus. The laser provides calculus removal. This is in stark contrast with tightly focused Gaussian beams that are energetically inefficient and lead to irreproducible results. Calculus is well ablated at high fluences >=2J/cm2 stalling occurs below this fluence because of photobleaching. Healthy hard tissue is not removed at fluences <=3 J/cm2.

  14. Optical transmittance investigation of 1-keV ion-irradiated sapphire crystals as potential VUV to NIR window materials of fusion reactors

    Directory of Open Access Journals (Sweden)

    Keisuke Iwano

    2016-10-01

    Full Text Available We investigate the optical transmittances of ion-irradiated sapphire crystals as potential vacuum ultraviolet (VUV to near-infrared (NIR window materials of fusion reactors. Under potential conditions in fusion reactors, sapphire crystals are irradiated with hydrogen (H, deuterium (D, and helium (He ions with 1-keV energy and ∼ 1020-m-2 s-1 flux. Ion irradiation decreases the transmittances from 140 to 260 nm but hardly affects the transmittances from 300 to 1500 nm. H-ion and D-ion irradiation causes optical absorptions near 210 and 260 nm associated with an F-center and an F+-center, respectively. These F-type centers are classified as Schottky defects that can be removed through annealing above 1000 K. In contrast, He-ion irradiation does not cause optical absorptions above 200 nm because He-ions cannot be incorporated in the crystal lattice due to the large ionic radius of He-ions. Moreover, the significant decrease in transmittance of the ion-irradiated sapphire crystals from 140 to 180 nm is related to the light scattering on the crystal surface. Similar to diamond polishing, ion irradiation modifies the crystal surface thereby affecting the optical properties especially at shorter wavelengths. Although the transmittances in the VUV wavelengths decrease after ion irradiation, the transmittances can be improved through annealing above 1000 K. With an optical transmittance in the VUV region that can recover through simple annealing and with a high transparency from the ultraviolet (UV to the NIR region, sapphire crystals can therefore be used as good optical windows inside modern fusion power reactors in terms of light particle loadings of hydrogen isotopes and helium.

  15. Airborne particulate concentration during laser hair removal: A comparison between cold sapphire with aqueous gel and cryogen skin cooling.

    Science.gov (United States)

    Ross, Edward V; Chuang, Gary S; Ortiz, Arisa E; Davenport, Scott A

    2018-04-01

    High concentrations of sub-micron nanoparticles have been shown to be released during laser hair removal (LHR) procedures. These emissions pose a potential biohazard to healthcare workers that have prolonged exposure to LHR plume. We sought to demonstrate that cold sapphire skin cooling done in contact mode might suppress plume dispersion during LHR. A total of 11 patients were recruited for laser hair removal. They were treated on the legs and axilla with a 755 or 1064 nm millisecond-domain laser equipped with either (i) cryogen spray (CSC); (ii) refrigerated air (RA); or (iii) contact cooling with sapphire (CC). Concentration of ultrafine nanoparticles <1 μm were measured just before and during LHR with the three respective cooling methods. For contact cooling (CC), counts remained at baseline levels, below 3,500 parts per cubic centimeter (ppc) for all treatments. In contrast, the CSC system produced large levels of plume, peaking at times to over 400,000 ppc. The CA cooled system produced intermediate levels of plume, about 35,000 ppc (or about 10× baseline). Cold Sapphire Skin cooling with gel suppresses plume during laser hair removal, potentially eliminating the need for smoke evacuators, custom ventilation systems, and respirators during LHR. Lasers Surg. Med. 50:280-283, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  16. A higher-order-mode fiber delivery for Ti:Sapphire femtosecond lasers

    DEFF Research Database (Denmark)

    Jespersen, Kim Giessmann; Le, Tuan; Grüner-Nielsen, Lars Erik

    2010-01-01

    We report the first higher-order-mode fiber with anomalous dispersion at 800nm and demonstrate its potential in femtosecond pulse delivery for Ti:Sapphire femtosecond lasers. We obtain 125fs pulses after propagating a distance of 3.6 meters in solid-silica fiber. The pulses could be further...... compressed in a quartz rod to nearly chirp-free 110fs pulses. Femtosecond pulse delivery is achieved by launching the laser output directly into the delivery fiber without any pre-chirping of the input pulse. The demonstrated pulse delivery scheme suggests scaling to >20meters for pulse delivery in harsh...

  17. Quantifying Heterogeneities in Soil Cover and Weathering in the Bitterroot and Sapphire Mountains, Montana: Implications for Glacial Legacies and their Morphologic Control on Soil Formation

    Science.gov (United States)

    Benjaram, S. S.; Dixon, J. L.

    2017-12-01

    To what extent is chemical weathering governed by a landscape's topography? Quantifying chemical weathering in both steep rocky landscapes and soil-mantled landscapes requires describing heterogeneity in soil and rock cover at local and landscape scales. Two neighboring mountain ranges in the northern Rockies of western Montana, USA, provide an ideal natural laboratory in which to investigate the relationship between soil chemical weathering, persistence of soil cover, and topography. We focus our work in the previously glaciated Bitterroot Mountains, which consist of steep, rock-dominated hillslopes, and the neighboring unglaciated Sapphire Mountains, which display convex, soil-mantled hillslopes. Soil thickness measurements, soil and rock geochemistry, and digital terrain analysis reveal that soils in the rock-dominated Bitterroot Mountains are only slightly less weathered than those in the Sapphire Mountains. However, these differences are magnified when adjusted for rock fragments at a local scale and bedrock cover at a landscape scale, using our newly developed metric, the rock-adjusted chemical depletion fraction (RACDF) and rock-adjusted mass transfer coefficient (RA τ). The Bitterroots overall are 30% less weathered than the Sapphires despite higher mean annual precipitation in the former, with an average rock-adjusted CDF of 0.38 in the postglacial Bitterroots catchment and 0.61 in the nonglacial Sapphire catchment, suggesting that 38% of rock mass is lost in the conversion to soil in the Bitterroots, whereas 61% of rock mass is lost in the nonglaciated Sapphires. Because the previously glaciated Bitterroots are less weathered despite being wetter, we conclude that the glacial history of this landscape exerts more influence on soil chemical weathering than does modern climate. However, while previous studies have correlated weathering intensity with topographic parameters such as slope gradient, we find little topographic indication of specific controls

  18. Optical and structural behaviour of Mn implanted sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Franco, N.; Kozanecki, A.; Silva, R.C. da; Alves, E.

    2006-01-01

    Sapphire single crystals were implanted at room temperature with 180 keV manganese ions to fluences up to 1.8 x 10 17 cm -2 . The samples were annealed at 1000 deg. C in oxidizing or reducing atmosphere. Surface damage was observed after implantation of low fluences, the amorphous phase being observed after implantation of 5 x 10 16 cm -2 , as seen by Rutherford backscattering spectroscopy under channelling conditions. Thermal treatments in air annealed most of the implantation related defects and promoted the redistribution of the manganese ions, in a mixed oxide phase. X-ray diffraction studies revealed the presence of MnAl 2 O 4 . On the contrary, similar heat treatments in vacuum led to enhanced out diffusion of Mn while the matrix remained highly damaged. The analysis of laser induced luminescence performed after implantation showed the presence of an intense red emission

  19. A microwave exciter for Cs frequency standards based on a sapphire-loaded cavity oscillator.

    Science.gov (United States)

    Koga, Y; McNeilage, C; Searls, J H; Ohshima, S

    2001-01-01

    A low noise and highly stable microwave exciter system has been built for Cs atomic frequency standards using a tunable sapphire-loaded cavity oscillator (SLCO), which works at room temperature. This paper discusses the successful implementation of a control system for locking the SLCO to a long-term reference signal and reports an upper limit of the achieved frequency tracking error 6 x 10(-15) at tau = 1 s.

  20. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  1. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  2. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  3. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  4. AFM imaging and fractal analysis of surface roughness of AlN epilayers on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dallaeva, Dinara, E-mail: dinara.dallaeva@yandex.ru [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic); Ţălu, Ştefan [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii Street, Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, ul. Będzińska 39, 41-205 Sosnowiec (Poland); Škarvada, Pavel; Tománek, Pavel; Grmela, Lubomír [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic)

    2014-09-01

    Graphical abstract: - Highlights: • We determined the complexity of 3D surface roughness of aluminum nitride layers. • We used atomic force microscopy and analyzed their fractal geometry. • We determined the fractal dimension of surface roughness of aluminum nitride layers. • We determined the dependence of layer morphology on substrate temperature. - Abstract: The paper deals with AFM imaging and characterization of 3D surface morphology of aluminum nitride (AlN) epilayers on sapphire substrates prepared by magnetron sputtering. Due to the effect of temperature changes on epilayer's surface during the fabrication, a surface morphology is studied by combination of atomic force microscopy (AFM) and fractal analysis methods. Both methods are useful tools that may assist manufacturers in developing and fabricating AlN thin films with optimal surface characteristics. Furthermore, they provide different yet complementary information to that offered by traditional surface statistical parameters. This combination is used for the first time for measurement on AlN epilayers on sapphire substrates, and provides the overall 3D morphology of the sample surfaces (by AFM imaging), and reveals fractal characteristics in the surface morphology (fractal analysis)

  5. Effect of coating thickness on interfacial shear behavior of zirconia-coated sapphire fibers in a polycrystalline alumina matrix

    International Nuclear Information System (INIS)

    Hellmann, J.R.; Chou, Y.S.

    1995-01-01

    The effect of zirconia (ZrO 2 ) interfacial coatings on the interfacial shear behavior in sapphire reinforced alumina was examined in this study. Zirconia coatings of thicknesses ranging from 0.15 to 1.45 μm were applied to single crystal sapphire (Saphikon) fibers using a particulate loaded sol dipping technique. After calcining at 1,100 C in air, the coated fibers were incorporated into a polycrystalline alumina matrix via hot pressing. Interfacial shear strength and sliding behavior of the coated fibers was examined using thin-slice indentation fiber pushout and pushback techniques. In all cases, debonding and sliding occurred at the interface between the fibers and the coating. The coatings exhibited a dense microstructure and led to a higher interfacial shear strength (> 240 MPa) and interfacial sliding stress (> 75 MPa) relative to previous studies on the effect of a porous interphase on interfacial properties. The interfacial shear strength decreased with increasing fiber coating thickness (from 389 ± 59 to 241 ± 43 MPa for 0.15 to 1.45 microm thick coatings, respectively). Sliding behavior exhibited load modulation with increasing displacement during fiber sliding which is characteristic of fiber roughness-induced stick-slip. The high interfacial shear strengths and sliding stresses measured in this study, as well as the potentially strength degrading surface reconstruction observed on the coated fibers after hot pressing and heat treatment, indicate that dense zirconia coatings are not suitable candidates for optimizing composite toughness and strength in the sapphire fiber reinforced alumina system

  6. The active control devices of the size of products based on sapphire measuring tips with three degrees of freedom

    Science.gov (United States)

    Leun, E. V.; Leun, V. I.; Sysoev, V. K.; Zanin, K. A.; Shulepov, A. V.; Vyatlev, P. A.

    2018-01-01

    The article presents the results of the calculation of the load capacity of the active control devices (ACD) sapphire tip, which showed nearly 30-fold margin of safety to shock loads and experimental researches in mechanical contact with 5 cogs cutter 15 mm in diameter rotating with a frequency of 1000 rpm, which confirmed the calculations, determined the surface roughness Rz of the contact area of no more than 0.15 μm. Conditions have been created for recording without distortion of the image through a sapphire tip in contact with the processed article. A ACD design with new functionality is proposed: with one, two and three degrees of freedom of the sapphire tip and allows measuring the taper of the article and measurements on the chord. It is shown that with the implementation of their fixed head like the frame of the gyroscope with the rotations around the axes OY and OZ. It is shown that the rotation of the tip around the axis OX can be replaced more convenient for the implementation of the angular offset of the transferred image due to rotation of the output end of the flexible optical waveguide relative to the input. This makes it possible to reduce the "blurring of the image" during registration of the fast moving product profile when the slope of the recorder lines coincides with the slope of the edges of the image elements of the selected moving elements of the article.

  7. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  8. Subwavelength engineered fiber-to-chip silicon-on-sapphire interconnects for mid-infrared applications (Conference Presentation)

    Science.gov (United States)

    Alonso-Ramos, Carlos; Han, Zhaohong; Le Roux, Xavier; Lin, Hongtao; Singh, Vivek; Lin, Pao Tai; Tan, Dawn; Cassan, Eric; Marris-Morini, Delphine; Vivien, Laurent; Wada, Kazumi; Hu, Juejun; Agarwal, Anuradha; Kimerling, Lionel C.

    2016-05-01

    The mid-Infrared wavelength range (2-20 µm), so-called fingerprint region, contains the very sharp vibrational and rotational resonances of many chemical and biological substances. Thereby, on-chip absorption-spectrometry-based sensors operating in the mid-Infrared (mid-IR) have the potential to perform high-precision, label-free, real-time detection of multiple target molecules within a single sensor, which makes them an ideal technology for the implementation of lab-on-a-chip devices. Benefiting from the great development realized in the telecom field, silicon photonics is poised to deliver ultra-compact efficient and cost-effective devices fabricated at mass scale. In addition, Si is transparent up to 8 µm wavelength, making it an ideal material for the implementation of high-performance mid-IR photonic circuits. The silicon-on-insulator (SOI) technology, typically used in telecom applications, relies on silicon dioxide as bottom insulator. Unfortunately, silicon dioxide absorbs light beyond 3.6 µm, limiting the usability range of the SOI platform for the mid-IR. Silicon-on-sapphire (SOS) has been proposed as an alternative solution that extends the operability region up to 6 µm (sapphire absorption), while providing a high-index contrast. In this context, surface grating couplers have been proved as an efficient means of injecting and extracting light from mid-IR SOS circuits that obviate the need of cleaving sapphire. However, grating couplers typically have a reduced bandwidth, compared with facet coupling solutions such as inverse or sub-wavelength tapers. This feature limits their feasibility for absorption spectroscopy applications that may require monitoring wide wavelength ranges. Interestingly, sub-wavelength engineering can be used to substantially improve grating coupler bandwidth, as demonstrated in devices operating at telecom wavelengths. Here, we report on the development of fiber-to-chip interconnects to ZrF4 optical fibers and integrated SOS

  9. Synthesis of single-crystalline Al layers in sapphire

    International Nuclear Information System (INIS)

    Schlosser, W.; Lindner, J.K.N.; Zeitler, M.; Stritzker, B.

    1999-01-01

    Single-crystalline, buried aluminium layers were synthesized by 180 keV high-dose Al + ion implantation into sapphire at 500 deg. C. The approximately 70 nm thick Al layers exhibit in XTEM investigations locally abrupt interfaces to the single-crystalline Al 2 O 3 top layer and bulk, while thickness and depth position are subjected to variations. The layers grow by a ripening process of oriented Al precipitates, which at low doses exist at two different orientations. With increasing dose, precipitates with one out of the two orientations are observed to exist preferentially, finally leading to the formation of a single-crystalline layer. Al outdiffusion to the surface and the formation of spherical Al clusters at the surface are found to be competing processes to buried layer formation. The formation of Al layers is described by Rutherford Backscattering Spectroscopy (RBS), Cross-section transmission electron microscopy (XTEM) and Scanning electron microscopy (SEM) studies as a function of dose, temperature and substrate orientation

  10. Dispersion Free Doped and Undoped AlGaN/GaN HEMTs on Sapphire and SiC Substrates

    NARCIS (Netherlands)

    Kraemer, M.C.J.C.M.; Jacobs, B.; Kwaspen, J.J.M.; Suijker, E.M.; Hek, A.P. de; Karouta, F.; Kaufmann, L.M.F.; Hoskens, R.C.P.

    2004-01-01

    We present dispersion free pulsed current voltage (I-V) and radio frequency (RF) power results of undoped and doped AlGaN/GaN HEMTs on sapphire and SiC substrates. The most significant processing step leading to these results is the application of a reactive ion etching (RIE) argon (Ar) plasma

  11. Broadband single-transverse-mode fluorescence sources based on ribs fabricated in pulsed laser deposited Ti: sapphire waveguides

    NARCIS (Netherlands)

    Grivas, C.; May-Smith, T.C.; Shepherd, D.P.; Eason, R.W.; Pollnau, Markus; Jelinek, M.

    2004-01-01

    Active rib waveguides with depths and widths varying from 3 to 5 μm and from 9 to 24 μm, respectively, have been structured by $Ar^{+}$-beam etching in pulsed laser deposited Ti:sapphire layers. Losses in the channel structures were essentially at the same levels as the unstructured planar waveguide

  12. Development of laser diode-pumped high average power solid-state laser for the pumping of Ti:sapphire CPA system

    Energy Technology Data Exchange (ETDEWEB)

    Maruyama, Yoichiro; Tei, Kazuyoku; Kato, Masaaki; Niwa, Yoshito; Harayama, Sayaka; Oba, Masaki; Matoba, Tohru; Arisawa, Takashi; Takuma, Hiroshi [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-03-01

    Laser diode pumped all solid state, high repetition frequency (PRF) and high energy Nd:YAG laser using zigzag slab crystals has been developed for the pumping source of Ti:sapphire CPA system. The pumping laser installs two main amplifiers which compose ring type amplifier configuration. The maximum amplification gain of the amplifier system is 140 and the condition of saturated amplification is achieved with this high gain. The average power of fundamental laser radiation is 250 W at the PRF of 200 Hz and the pulse duration is around 20 ns. The average power of second harmonic is 105 W at the PRF of 170 Hz and the pulse duration is about 16 ns. The beam profile of the second harmonic is near top hat and will be suitable for the pumping of Ti:sapphire laser crystal. The wall plug efficiency of the laser is 2.0 %. (author)

  13. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  14. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    Science.gov (United States)

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  15. Morphological Evolution of a-GaN on r-Sapphire by Metalorganic Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Sang Ling; Liu Jian-Ming; Xu Xiao-Qing; Wang Jun; Zhao Gui-Juan; Liu Chang-Bo; Gu Cheng-Yan; Liu Gui-Peng; Wei Hong-Yuan; Liu Xiang-Lin; Yang Shao-Yan; Zhu Qin-Sheng; Wang Zhan-Guo

    2012-01-01

    The morphological evolution of a-GaN deposited by metalorganic chemical vapor deposition (MOCVD) on r-sapphire is studied. The influences of V/III ratio and growth temperature on surface morphology are investigated. V-pits and stripes are observed on the surface of a-GaN grown at 1050°C and 1100°C, respectively. The overall orientation and geometry of V-pits are uniform and independent on the V/III molar ratio in the samples grown at 1050°C, while in the samples grown at 1100°C, the areas of stripes decrease with the adding of V/III ratio. We deduce the origin of V-pits and stripes by annealing the buffer layers at different temperatures. Because of the existence of inclined (101-bar1) facets, V-pits are formed at 1050°C. The (101-bar1) plane is an N terminated surface, which is metastable at higher temperature, so stripes instead of V-pits are observed at 1100°C. Raman spectra suggest that the growth temperature of the first layer in the two-step process greatly affects the strain of the films. Hence, to improve the growth temperature of the first layer in the two-step method may be an effective way to obtain high quality a-GaN film on r-sapphire. (condensed matter: structure, mechanical and thermal properties)

  16. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    OpenAIRE

    Kohei Ueno; Eiji Kishikawa; Jitsuo Ohta; Hiroshi Fujioka

    2017-01-01

    High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001) via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101¯2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6μm. Repeatable p-type doping in N-polar GaN films...

  17. Light refraction in sapphire plates with a variable angle of crystal optical axis to the surface

    International Nuclear Information System (INIS)

    Vetrov, V. N.; Ignatenkov, B. A.

    2013-01-01

    The modification of sapphire by inhomogeneous plastic deformation makes it possible to obtain plates with a variable angle of inclination of the crystal optical axis to the plate surface. The refraction of light in this plate at perpendicular and oblique incidence of a parallel beam of rays is considered. The algorithm of calculating the refractive index of extraordinary ray and the birefringence is proposed.

  18. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  19. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  20. Synthesis of high quality graphene on capped (1 1 1) Cu thin films obtained by high temperature secondary grain growth on c-plane sapphire substrates

    Science.gov (United States)

    Kim, Youngwoo; Moyen, Eric; Yi, Hemian; Avila, José; Chen, Chaoyu; Asensio, Maria C.; Lee, Young Hee; Pribat, Didier

    2018-07-01

    We propose a novel growth technique, in which graphene is synthesized on capped Cu thin films deposited on c-plane sapphire. The cap is another sapphire plate which is just laid upon the Cu thin film, in direct contact with it. Thanks to this ‘contact cap’, Cu evaporation can be suppressed at high temperature and the 400 nm-thick Cu films can be annealed above 1000 °C, resulting in (1 1 1)-oriented grains of millimeter size. Following this high temperature annealing, graphene is grown by chemical vapor deposition during the same pump-down operation, without removing the contact cap. The orientation and doping type of the as-grown graphene were first studied, using low energy electron diffraction, as well as high resolution angle-resolved photoemission spectroscopy. In particular, the orientation relationships between the graphene and copper thin film with respect to the sapphire substrate were precisely determined. We find that the graphene sheets exhibit a minimal rotational disorder, with ~90% of the grains aligned along the copper high symmetry direction. Detailed transport measurements were also performed using field-effect transistor structures. Carrier mobility values as high as 8460 cm2 V‑1 s‑1 have been measured on top gate transistors fabricated directly on the sapphire substrate, by etching the Cu film from underneath the graphene sheets. This is by far the best carrier mobility value obtained to date for graphene sheets synthesized on a thin film-type metal substrate.

  1. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  2. Sapphire: a better material for atomization and in situ collection of silver volatile species for atomic absorption spectrometry

    Czech Academy of Sciences Publication Activity Database

    Musil, Stanislav; Matoušek, Tomáš; Dědina, Jiří

    2015-01-01

    Roč. 108, JUN (2015), s. 61-67 ISSN 0584-8547 R&D Projects: GA ČR GA14-23532S Grant - others:GA AV ČR(CZ) M200311202 Institutional support: RVO:68081715 Keywords : silver * volatile species generation * sapphire tube atomizer Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 3.289, year: 2015

  3. Site location and optical properties of Eu implanted sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Silva, R.C. da; Alves, E.

    2005-01-01

    Synthetic colourless transparent (0 0 0 1) sapphire crystals were implanted at room temperature with 100 keV europium ions to fluences up to 1 x 10 16 cm -2 . Surface damage is observed at low fluences, as seen by Rutherford backscattering spectrometry under channelling conditions. Optical absorption measurements revealed a variety of structures, most probably related to F-type defects characteristic of implantation damage. Thermal treatments in air or in vacuum up to 1000 deg. C do not produce noticeable changes both in the matrix or the europium profiles. However, the complete recovery of the implantation damage and some redistribution of the europium ions is achieved after annealing at 1300 deg. C in air. Detailed lattice site location studies performed for various axial directions allowed to assess the damage recovery and the incorporation of the Eu ions into well defined crystallographic sites, possibly in an oxide phase also inferred from optical absorption measurements

  4. Automated quantification of apoptosis in B-cell chronic lymphoproliferative disorders: a prognostic variable obtained with the Cell-Dyn Sapphire (Abbott) automated hematology analyzer.

    Science.gov (United States)

    Fumi, M; Martins, D; Pancione, Y; Sale, S; Rocco, V

    2014-12-01

    B-chronic lymphocytic leukemia CLL, a neoplastic clonal disorder with monomorphous small B lymphocytes with scanty cytoplasm and clumped chromatin, can be morphologically differentiated in typical and atypical forms with different prognosis: Smudge cells (Gumprecht's shadows) are one of the well-known features of the typical CLL and are much less inconsistent in other different types CLPD. Abbott Cell-Dyn Sapphire uses the fluorescence after staining with the DNA fluorochrome propidium iodide for the measurement of nucleated red blood cells (NRBCs) and nonviable cells (FL3+ cell fraction): We have studied the possible correlation between presence and number of morphologically identifiable smudge cells on smears and the percentage of nonviable cells produced by Cell-Dyn Sapphire. 305 blood samples from 224 patients with B-cell lymphoproliferative disorders and 40 healthy blood donors were analyzed by CBC performed by Cell-Dyn Sapphire, peripheral blood smear, and immunophenotype characterization. FL3+ fraction in CLPD directly correlated with the percentage of smudge cells and is significantly increased in patients with typical B-CLL. This phenomenon is much less evident in patients with atypical/mixed B-CLL and B-NHL. In small laboratories without FCM and cytogenetic, smudge cells%, can be utilized as a preliminary diagnostic and prognostic tool in differential diagnosis of CLPD. © 2014 John Wiley & Sons Ltd.

  5. Kinetics of dissolution of sapphire in melts in the CaO-Al2O3-SiO2 system

    Science.gov (United States)

    Shaw, Cliff S. J.; Klausen, Kim B.; Mao, Huahai

    2018-05-01

    The dissolution rate of sapphire in melts in the CAS system of varying silica activity, viscosity and degree of alumina saturation has been determined at 1600 °C and 1.5 GPa. After an initiation period of up to 1800 s, dissolution is controlled by diffusion of cations through the boundary layer adjacent to the dissolving sapphire. The dissolution rate decreases with increasing silica activity, viscosity and molar Al2O3/CaO. The calculated diffusion matrix for each solvent melt shows that CAS 1 and 9 which have molar Al2O3/CaO of 0.33 and 0.6 and dissolution rate constants of 0.65 × 10-6 and 0.59 × 10-6 m/s0.5 have similar directions and magnitudes of diffusive coupling: DCaO-Al2O3 and DAl2O3-CaO are both negative are approximately equal. The solvent with the fastest dissolution rate: CAS 4, which has a rate constant of 1.5 × 10-6 m/s0.5 and Al2O3/CaO of 0.31 has positive DCaO-Al2O3 and negative DAl2O3-CaO and the absolute values vary by a factor of 4. Although many studies show that aluminium is added to the melts via the reaction: Si4+ =Al3+ + 0.5Ca2+ the compositional profiles show that this reaction is not the only one involved in accommodating the aluminium added during sapphire dissolution. Rather, aluminium is incorporated as both tetrahedrally coordinated Al charge balanced by Ca and as aluminium not charge balanced by Ca (termed Alxs). This reaction: AlIV -Ca =Alxs +CaNBO where CaNBO is a non-bridging oxygen associated with calcium, may involve the formation of aluminium triclusters. The shape of the compositional profiles and oxide-oxide composition paths is controlled by the aluminium addition reaction. When Alxs exceeds 2%, CaO diffusion becomes increasingly anomalous and since the bond strength of Alxs correlates with CaO/CaO + Al2O3, the presence of more than 2% Alxs leads to significantly slower dissolution than when Alxs is absent or at low concentration. Thus, dissolution is controlled by diffusion of cations through the boundary layer, but this

  6. XPS and ToF-SIMS analysis of natural rubies and sapphires heat-treated in a reducing (5 mol% H 2/Ar) atmosphere

    Science.gov (United States)

    Achiwawanich, S.; James, B. D.; Liesegang, J.

    2008-12-01

    Surface effects on Mong Hsu rubies and Kanchanaburi sapphires after heat treatment in a controlled reducing atmosphere (5 mol% H 2/Ar) have been investigated using advanced surface science techniques including X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). Visual appearance of the gemstones is clearly affected by the heat treatment in a reducing atmosphere. Kanchanaburi sapphires, in particular, exhibit Fe-containing precipitates after the heat treatment which have not been observed in previous studies under an inert atmosphere. Significant correlation between changes in visual appearance of the gemstones and variations in surface concentration of trace elements, especially Ti and Fe are observed. The XPS and ToF-SIMS results suggest that; (1) a reducing atmosphere affects the oxidation state of Fe; (2) dissociation of Fe-Ti interaction may occur during heat treatment.

  7. Polycrystalline Ba0.6Sr0.4TiO3 thin films on r-plane sapphire: Effect of film thickness on strain and dielectric properties

    Science.gov (United States)

    Fardin, E. A.; Holland, A. S.; Ghorbani, K.; Akdogan, E. K.; Simon, W. K.; Safari, A.; Wang, J. Y.

    2006-10-01

    Polycrystalline Ba0.6Sr0.4TiO3 (BST) films grown on r-plane sapphire exhibit strong variation of in-plane strain over the thickness range of 25-400nm. At a critical thickness of ˜200nm, the films are strain relieved; in thinner films, the strain is tensile, while compressive strain was observed in the 400nm film. Microwave properties of the films were measured from 1to20GHz by the interdigital capacitor method. A capacitance tunability of 64% was observed in the 200nm film, while thinner films showed improved Q factor. These results demonstrate the possibility of incorporating frequency agile BST-based devices into the silicon on sapphire process.

  8. Mid-IR absorption sensing of heavy water using a silicon-on-sapphire waveguide.

    Science.gov (United States)

    Singh, Neetesh; Casas-Bedoya, Alvaro; Hudson, Darren D; Read, Andrew; Mägi, Eric; Eggleton, Benjamin J

    2016-12-15

    We demonstrate a compact silicon-on-sapphire (SOS) strip waveguide sensor for mid-IR absorption spectroscopy. This device can be used for gas and liquid sensing, especially to detect chemically similar molecules and precisely characterize extremely absorptive liquids that are difficult to detect by conventional infrared transmission techniques. We reliably measure concentrations up to 0.25% of heavy water (D2O) in a D2O-H2O mixture at its maximum absorption band at around 4 μm. This complementary metal-oxide-semiconductor (CMOS) compatible SOS D2O sensor is promising for applications such as measuring body fat content or detection of coolant leakage in nuclear reactors.

  9. Formation of metal nanoparticles of various sizes in plasma plumes produced by Ti:sapphire laser pulses

    International Nuclear Information System (INIS)

    Chakravarty, U.; Naik, P. A.; Mukherjee, C.; Kumbhare, S. R.; Gupta, P. D.

    2010-01-01

    In this paper, an experimental study on generation of nanoparticle various sizes using Ti:sapphire laser pulses, is reported. Nanoparticle formation in plasma plumes of metals like silver and copper, expanding in vacuum, has been studied using stretched pulses of 300 ps duration [subnanoseconds (sub-ns)] from a Ti:sapphire laser. It has been compared with the nanoparticle formation (of the same materials) when compressed pulses of 45 fs duration were used under similar focusing conditions. Nanoparticle formation is observed at intensities as high as 2x10 16 W/cm 2 . The structural analysis of the nanoparticle deposition on a silicon substrate showed that, using 45 fs pulses, smaller nanoparticles of average size ∼20 nm were generated, whereas on using the sub-ns pulses, larger particles were produced. Also, the visible light transmission and reflection from the nanoparticle film of Ag on glass substrate showed surface plasmon resonance (SPR). The SPR curves of the films of nanoparticles deposited by femtosecond pulses were always broader and reflection/transmission was always smaller when compared with the films formed using the sub-ns pulses, indicating smaller size particle formation by ultrashort pulses. Thus, it has been demonstrated that variation in the laser pulse duration of laser offers a simple tool for varying the size of the nanoparticles generated in plasma plumes.

  10. Design of patterned sapphire substrates for GaN-based light-emitting diodes

    International Nuclear Information System (INIS)

    Wang Hai-Yan; Lin Zhi-Ting; Han Jing-Lei; Zhong Li-Yi; Li Guo-Qiang

    2015-01-01

    A new method for patterned sapphire substrate (PSS) design is developed and proven to be reliable and cost-effective. As progress is made with LEDs’ luminous efficiency, the pattern units of PSS become more complicated, and the effect of complicated geometrical features is almost impossible to study systematically by experiments only. By employing our new method, the influence of pattern parameters can be systematically studied, and various novel patterns are designed and optimized within a reasonable time span, with great improvement in LEDs’ light extraction efficiency (LEE). Clearly, PSS pattern design with such a method deserves particular attention. We foresee that GaN-based LEDs on these newly designed PSSs will achieve more progress in the coming years. (topical review)

  11. Mode-locked Ti:sapphire laser oscillators pumped by wavelength-multiplexed laser diodes

    Science.gov (United States)

    Sugiyama, Naoto; Tanaka, Hiroki; Kannari, Fumihiko

    2018-05-01

    We directly pumped a Ti:sapphire laser by combining 478 and 520 nm laser diodes to prevent the effect of absorption loss induced by the pump laser of shorter wavelengths (∼450 nm). We obtain a continuous-wave output power of 660 mW at a total incident pump power of 3.15 W. We demonstrate mode locking using a semiconductor saturable absorber mirror, and 126 fs pulses were obtained at a repetition rate of 192 MHz. At the maximum pump power, the average output power is 315 mW. Shorter mode-locked pulses of 42 and 48 fs were respectively achieved by Kerr-lens mode locking with average output powers of 280 and 360 mW at a repetition rate of 117 MHz.

  12. Numerical simulation of terahertz-wave propagation in photonic crystal waveguide based on sapphire shaped crystal

    International Nuclear Information System (INIS)

    Zaytsev, Kirill I; Katyba, Gleb M; Mukhina, Elena E; Kudrin, Konstantin G; Karasik, Valeriy E; Yurchenko, Stanislav O; Kurlov, Vladimir N; Shikunova, Irina A; Reshetov, Igor V

    2016-01-01

    Terahertz (THz) waveguiding in sapphire shaped single crystal has been studied using the numerical simulations. The numerical finite-difference analysis has been implemented to characterize the dispersion and loss in the photonic crystalline waveguide containing hollow cylindrical channels, which form the hexagonal lattice. Observed results demonstrate the ability to guide the THz-waves in multi-mode regime in wide frequency range with the minimal power extinction coefficient of 0.02 dB/cm at 1.45 THz. This shows the prospectives of the shaped crystals for highly-efficient THz waveguiding. (paper)

  13. Shock-Assisted Superficial Hexagonal-to-Cubic Phase Transition in GaN/Sapphire Interface Induced by Using Ultra-violet Laser Lift-Of Techniques

    International Nuclear Information System (INIS)

    Wei-Hua, Chen; Xiao-Dong, Hu; Xiang-Ning, Kang; Xu-Rong, Zhou; Xiao-Min, Zhang; Tong-Jun, Yu; Zhi-Jian, Yang; Ke, Xu; Guo-Yi, Zhang; Xu-Dong, Shan; Li-Ping, You

    2009-01-01

    Ultra-violet (KrF excimer laser, λ = 248 nm) laser lift-of (LLO) techniques have been operated to the GaN/sapphire structure to separate GaN from the sapphire substrate. Hexagonal to cubic phase transformation induced by the ultra-violet laser lift-of (UV-LLO) has been characterized by micro-Raman spectroscopy, micro-photoluminescence, along with high-resolution transmission electron microscopy (HRTEM). HRTEM indicates that UV-LLO induced phase transition takes place above the LLO interface, without phase transition under the LLO interface. The formed cubic GaN often exists as nanocrystal grains attaching on the bulk hexagonal GaN. The half-loop-cluster-like UV-LLO interface indicates that the LLO-induced shock waves has generated and played an assistant role in the decomposition of the hexagonal GaN and in the formation of cubic GaN grains at the LLO surface

  14. XPS and ToF-SIMS analysis of natural rubies and sapphires heat-treated in a reducing (5 mol% H{sub 2}/Ar) atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Achiwawanich, S. [Department of Physics, La Trobe University, VIC 3086 (Australia); Centre for Materials and Surface Science, La Trobe University, VIC 3086 (Australia); James, B.D. [Centre for Materials and Surface Science, La Trobe University, VIC 3086 (Australia); Department of Chemistry, La Trobe University, VIC 3086 (Australia); Liesegang, J. [Department of Physics, La Trobe University, VIC 3086 (Australia); Centre for Materials and Surface Science, La Trobe University, VIC 3086 (Australia)], E-mail: J.Liesegang@latrobe.edu.au

    2008-12-30

    Surface effects on Mong Hsu rubies and Kanchanaburi sapphires after heat treatment in a controlled reducing atmosphere (5 mol% H{sub 2}/Ar) have been investigated using advanced surface science techniques including X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). Visual appearance of the gemstones is clearly affected by the heat treatment in a reducing atmosphere. Kanchanaburi sapphires, in particular, exhibit Fe-containing precipitates after the heat treatment which have not been observed in previous studies under an inert atmosphere. Significant correlation between changes in visual appearance of the gemstones and variations in surface concentration of trace elements, especially Ti and Fe are observed. The XPS and ToF-SIMS results suggest that; (1) a reducing atmosphere affects the oxidation state of Fe; (2) dissociation of Fe-Ti interaction may occur during heat treatment.

  15. Molecular dynamics simulation of electron trapping in the sapphire lattice

    International Nuclear Information System (INIS)

    Rambaut, C.; Oh, K.H.; Fayeulle, S.; Kohanoff, J.

    1995-10-01

    Energy storage and release in dielectric materials can be described on the basis of the charge trapping mechanism. Most phenomenological aspects have been recently rationalized in terms of the space charge mode. Dynamical aspects are studied here by performing Molecular Dynamics simulations. We show that an excess electron introduced into the sapphire lattice (α -Al 2 O 3 ) can be trapped only at a limited number of sites. The energy gained by allowing the electron to localize in these sites is of the order of 4-5 eV, in good agreement with the results of the space charge model. Displacements of the neighboring ions due to the implanted charge are shown to be localized in a small region of about 5 A. Detrapping is observed at 250 K. The ionic displacements turn out to play an important role in modifying the potential landscape by lowering, in a dynamical way, the barriers that cause localization at low temperature. (author). 18 refs, 7 figs, 2 tabs

  16. The SAPPHIRE and 50 MT projects at BWXT, Lynchburg, VA

    International Nuclear Information System (INIS)

    Thiele, R.; Horn, B.; Coates, C.W.; Stainback, J.R.

    2001-01-01

    Full text: When the SAPPHIRE project for the down-blending of HEU material of Khazak origin was initiated in 1996 at BWX Technologies (BWXT) formally Babcock and Wilcox in Lynchburg, VA and the Agency was requested to apply its specially designed safeguards measures to the process with a view to provide assurance to the international community that down-blending had actually taken place as stipulated in the USA-Khazak agreement a learning process was initiated from this effort culminating in the current 50 MT downblending process at the same facility with BWXT, the USA Authorities, and the Agency as partners in this technologically advanced enterprise aimed at the downgrading of a substantial quantity of weapons grade material. In the present paper an overview is provided of the road leading to an effective, and mutually agreeable safeguards approach for carrying out verifications in the sensitive environment of a facility devoted to HEU uranium processing. (author)

  17. Femtosecond laser micromachining of sapphire capillaries for laser-wakefield acceleration

    Energy Technology Data Exchange (ETDEWEB)

    Messner, Philipp; Delbos, Niels Matthias; Maier, Andreas R. [CFEL, Center for Free-Electron Laser Science, 22607 Hamburg (Germany); University of Hamburg, Institute of Experimental Physics, 22761 Hamburg (Germany); Calmano, Thomas [University of Hamburg, Institute of Experimental Physics, 22761 Hamburg (Germany)

    2015-07-01

    Laser-plasma accelerator are promising candidates to provide ultra-relativistic electron beams for compact light sources. One factor that limits the achievable electron beam energy in a laser plasma accelerator is the Rayleigh length of the driver laser, which dictates the length over which the electron beams can effectively be accelerated. To overcome this limitation lasers can be guided in a capillary waveguide to extend the acceleration length beyond the Rayleigh length. The production of waveguide structures on scales, that are suitable for plasma acceleration is very challenging. Here, we present experimental results from waveguide machining in sapphire crystals using a Clark MXR CPA 2010 laser with a wavelength of 775nm, 1KHZ repetition rate and a pulse duration of 160 fs. We discuss the effects of different parameters like energy, lens types, writing speed and polarisation on the size and shape of the capillaries, and compare the performance of different parameter sets.

  18. The sapphire backscattering monochromator at the Dynamics beamline P01 of PETRA III

    Energy Technology Data Exchange (ETDEWEB)

    Alexeev, P., E-mail: pavel.alexeev@desy.de [Deutsches Elektronen-Synchrotron DESY (Germany); Asadchikov, V. [Russian Academy of Sciences, A.V. Shubnikov Institute of Crystallography (Russian Federation); Bessas, D. [European Synchrotron Radiation Facility (France); Butashin, A.; Deryabin, A. [Russian Academy of Sciences, A.V. Shubnikov Institute of Crystallography (Russian Federation); Dill, F.-U.; Ehnes, A.; Herlitschke, M. [Deutsches Elektronen-Synchrotron DESY (Germany); Hermann, R. P.; Jafari, A. [JARA-FIT, Jülich Centre for Neutron Science JCNS and Peter Grünberg Institut PGI (Germany); Prokhorov, I. [Kaluga Branch of Shubnikov Institute of Crystallography RAS, Research Center for Space Materials Science (Russian Federation); Roshchin, B. [Russian Academy of Sciences, A.V. Shubnikov Institute of Crystallography (Russian Federation); Röhlsberger, R.; Schlage, K.; Sergueev, I.; Siemens, A.; Wille, H.-C., E-mail: hans.christian.wille@desy.de [Deutsches Elektronen-Synchrotron DESY (Germany)

    2016-12-15

    We report on a high resolution sapphire backscattering monochromator installed at the Dynamics beamline P01 of PETRA III. The device enables nuclear resonance scattering experiments on Mössbauer isotopes with transition energies between 20 and 60 keV with sub-meV to meV resolution. In a first performance test with {sup 119}Sn nuclear resonance at a X-ray energy of 23.88 keV an energy resolution of 1.34 meV was achieved. The device extends the field of nuclear resonance scattering at the PETRA III synchrotron light source to many further isotopes like {sup 151}Eu, {sup 149}Sm, {sup 161}Dy, {sup 125}Te and {sup 121}Sb.

  19. Quadrupolar interactions in non-cubic crystal and related extra heat capacities. Possible effects on a sapphire bolometer

    Energy Technology Data Exchange (ETDEWEB)

    Bassou, M. [Tunis Univ. (Tunisia)]|[CEA/DSM/DRECAM/SPEC, Gif-wur-Yvette (France); Rotter, M. [Karlova Univ., Prague (Czech Republic)]|[CEA/DSM/DRECAM/SPEC, Gif-wur-Yvette (France); Bernier, M. [CEA/DSM/DRECAM/SPEC, Gif-wur-Yvette (France); Chapellier, M. [CEA/DSM/DRECAM/SPEC, Gif-wur-Yvette (France)

    1996-02-11

    It is shown that in a non-cubic crystal, the extra heat capacity due to quadrupolar interaction of nuclear spins >1/2 could be much bigger than the phonon heat capacity when the temperature decreases. The possible coupling between quadrupolar and phonon heat reservoir via paramagnetic impurities is stressed. A NMR experiment done on sapphire is presented with an evaluation of the coupling between the two reservoirs and its consequence on the performance of the bolometer. (orig.).

  20. Quadrupolar interactions in non-cubic crystal and related extra heat capacities. Possible effects on a sapphire bolometer

    International Nuclear Information System (INIS)

    Bassou, M.; Rotter, M.; Bernier, M.; Chapellier, M.

    1996-01-01

    It is shown that in a non-cubic crystal, the extra heat capacity due to quadrupolar interaction of nuclear spins >1/2 could be much bigger than the phonon heat capacity when the temperature decreases. The possible coupling between quadrupolar and phonon heat reservoir via paramagnetic impurities is stressed. A NMR experiment done on sapphire is presented with an evaluation of the coupling between the two reservoirs and its consequence on the performance of the bolometer. (orig.)

  1. Response of Seven Crystallographic Orientations of Sapphire Crystals to Shock Stresses of 16 to 86 GPa

    OpenAIRE

    Kanel, G. I.; Nellis, W. J.; Savinykh, A. S.; Razorenov, S. V.; Rajendran, A. M.

    2009-01-01

    Shock-wave profiles of sapphire (single-crystal Al2O3) with seven crystallographic orientations were measured with time-resolved VISAR interferometry at shock stresses in the range 16 to 86 GPa. Shock propagation was normal to the surface of each cut. The angle between the c-axis of the hexagonal crystal structure and the direction of shock propagation varied from 0 for c-cut up to 90 degrees for m-cut in the basal plane. Based on published shock-induced transparencies, shock-induced optical ...

  2. Impact of layer and substrate properties on the surface acoustic wave velocity in scandium doped aluminum nitride based SAW devices on sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Gillinger, M., E-mail: manuel.gillinger@tuwien.ac.at; Knobloch, T.; Schneider, M.; Schmid, U. [Institute of Sensor and Actuator Systems, TU Wien, 1040 Vienna (Austria); Shaposhnikov, K.; Kaltenbacher, M. [Institute of Mechanics and Mechatronics, TU Wien, 1040 Vienna (Austria)

    2016-06-06

    This paper investigates the performance of surface acoustic wave (SAW) devices consisting of reactively sputter deposited scandium doped aluminum nitride (Sc{sub x}Al{sub 1-x}N) thin films as piezoelectric layers on sapphire substrates for wireless sensor or for RF-MEMS applications. To investigate the influence of piezoelectric film thickness on the device properties, samples with thickness ranging from 500 nm up to 3000 nm are fabricated. S{sub 21} measurements and simulations demonstrate that the phase velocity is predominantly influenced by the mass density of the electrode material rather than by the thickness of the piezoelectric film. Additionally, the wave propagation direction is varied by rotating the interdigital transducer structures with respect to the crystal orientation of the substrate. The phase velocity is about 2.5% higher for a-direction compared to m-direction of the sapphire substrate, which is in excellent agreement with the difference in the anisotropic Young's modulus of the substrate corresponding to these directions.

  3. N-polar GaN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistor formed on sapphire substrate with minimal step bunching

    Science.gov (United States)

    Prasertsuk, Kiattiwut; Tanikawa, Tomoyuki; Kimura, Takeshi; Kuboya, Shigeyuki; Suemitsu, Tetsuya; Matsuoka, Takashi

    2018-01-01

    The metal-insulator-semiconductor (MIS) gate N-polar GaN/AlGaN/GaN high-electron-mobility transistor (HEMT) on a (0001) sapphire substrate, which can be expected to operate with lower on-resistance and more easily work on the pinch-off operation than an N-polar AlGaN/GaN HEMT, was fabricated. For suppressing the step bunching and hillocks peculiar in the N-polar growth, a sapphire substrate with an off-cut angle as small as 0.8° was introduced and an N-polar GaN/AlGaN/GaN HEMT without the step bunching was firstly obtained by optimizing the growth conditions. The previously reported anisotropy of transconductance related to the step was eliminated. The pinch-off operation was also realized. These results indicate that this device is promising.

  4. The density and compositional analysis of titanium doped sapphire single crystal grown by the Czocharlski method

    Science.gov (United States)

    Kusuma, H. H.; Ibrahim, Z.; Othaman, Z.

    2018-03-01

    Titanium doped sapphire (Ti:Al2O3) crystal has attracted attention not only as beautiful gemstones, but also due to their applications as high power laser action. It is very important crystal for tunable solid state laser. Ti:Al2O3 crystals have been success grown using the Czocharlski method with automatic diameter control (ADC) system. The crystals were grown with different pull rates. The structure of the crystal was characterized with X-Ray Diffraction (XRD). The density of the crystal was measurement based on the Archimedes principle and the chemical composition of the crystal was confirmed by the Energy Dispersive X-ray (EDX) Spectroscopy. The XRD patterns of crystals are showed single main peak with a high intensity. Its shows that the samples are single crystal. The Ti:Al2O3 grown with different pull rate will affect the distribution of the concentration of dopant Ti3+ and densities on the sapphire crystals boules as well on the crystal growth process. The increment of the pull rate will increase the percentage distribution of Ti3+ and on the densities of the Ti:Al2O3 crystal boules. This may be attributed to the speed factor of the pull rate of the crystal that then caused changes in the heat flow in the furnace and then causes the homogeneities is changed of species distribution of atoms along crystal.

  5. Implementation of ZnO/ZnMgO strained-layer superlattice for ZnO heteroepitaxial growth on sapphire

    Science.gov (United States)

    Petukhov, Vladimir; Bakin, Andrey; Tsiaoussis, Ioannis; Rothman, Johan; Ivanov, Sergey; Stoemenos, John; Waag, Andreas

    2011-05-01

    The main challenge in fabrication of ZnO-based devices is the absence of reliable p-type material. This is mostly caused by insufficient crystalline quality of the material and not well-enough-developed native point defect control of ZnO. At present high-quality ZnO wafers are still expensive and ZnO heteroepitaxial layers on sapphire are the most reasonable alternative to homoepitaxial layers. But it is still necessary to improve the crystalline quality of the heteroepitaxial layers. One of the approaches to reduce defect density in heteroepitaxial layers is to introduce a strained-layer superlattice (SL) that could stop dislocation propagation from the substrate-layer interface. In the present paper we have employed fifteen periods of a highly strained SL structure. The structure was grown on a conventional double buffer layer comprising of high-temperature MgO/low-temperature ZnO on sapphire. The influence of the SLs on the properties of the heteroepitaxial ZnO layers is investigated. Electrical measurements of the structure with SL revealed very high values of the carrier mobility up to 210 cm2/Vs at room temperature. Structural characterization of the obtained samples showed that the dislocation density in the following ZnO layer was not reduced. The high mobility signal appears to come from the SL structure or the SL/ZnO interface.

  6. Intensity Noise Transfer Through a Diode-pumped Titanium Sapphire Laser System

    DEFF Research Database (Denmark)

    Tawfieq, Mahmoud; Hansen, Anders Kragh; Jensen, Ole Bjarlin

    2017-01-01

    higher RIN than a setup with only a single nonlinear crystal. The Ti:S is shown to have a cut-off frequency around 500 kHz, which means that noise structures of the pump laser above this frequency are strongly suppressed. Finally, the majority of the Ti:S noise seems to originate from the laser itself......In this paper, we investigate the noise performance and transfer in a titanium sapphire (Ti:S) laser system. This system consists of a DBR tapered diode laser, which is frequency doubled in two cascaded nonlinear crystals and used to pump the Ti:S laser oscillator. This investigation includes...... electrical noise characterizations of the utilized power supplies, the optical noise of the fundamental light, the second harmonic light, and finally the optical noise of the femtosecond pulses emitted by the Ti:S laser. Noise features originating from the electric power supply are evident throughout...

  7. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  8. Changing of micromorphology of silicon-on-sapphire epitaxial layer surface at irradiation by subthreshold energy X-radiation

    CERN Document Server

    Kiselev, A N; Skupov, V D; Filatov, D O

    2001-01-01

    The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation

  9. A phase stabilized and pulse shaped Ti:Sapphire oscillator-amplifier laser system for the LCLS rf photoinjector

    International Nuclear Information System (INIS)

    Kotseroglou, T.; Alley, R.; Clendenin, J.; Fisher, A.; Frisch, J.

    1998-04-01

    The authors have designed a laser system for the Linac Coherent Light Source rf photoinjector consisting of a Ti:Sapphire oscillator and 2 amplifiers using Chirped Pulse Amplification. The output after tripling will be 0.5 mJ tunable UV pulses at 120 Hz, with wavelength around 260 nm, pulsewidth of 10 ps FWHM and 200 fs rise and fall times. Amplitude stability is expected to be 1% rms in the UV and timing jitter better than 500 fs rms

  10. Demonstration of frequency control and CW diode laser injection control of a titanium-doped sapphire ring laser with no internal optical elements

    Science.gov (United States)

    Bair, Clayton H.; Brockman, Philip; Hess, Robert V.; Modlin, Edward A.

    1988-01-01

    Theoretical and experimental frequency narrowing studies of a Ti:sapphire ring laser with no intracavity optical elements are reported. Frequency narrowing has been achieved using a birefringent filter between a partially reflecting reverse wave suppressor mirror and the ring cavity output mirror. Results of CW diode laser injection seeding are reported.

  11. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  12. Thermal plasma fabricated lithium niobate-tantalate films on sapphire substrate

    International Nuclear Information System (INIS)

    Kulinich, S.A.; Yoshida, T.; Yamamoto, H.; Terashima, K.

    2003-01-01

    We report the deposition of LiNb 1-x Ta x O 3 (0≤x≤1) films on (001) sapphire substrates in soft vacuum using a radio frequency thermal plasma. The growth rate, crystallinity, c-axis orientation, and surface roughness were examined as functions of substrate temperature, precursor feed rate, and substrate surface condition. The film Nb/Ta ratio was well controlled by using an appropriate uniform mixture of lithium-niobium and lithium-tantalum alkoxide solutions. The epitaxy and crystallinity of the films were much improved when the film growth rate was raised from 20 to 180-380 nm/min, where the films with the (006) rocking curve full width at half maximum values as low as 0.12 deg. -0.2 deg. could be produced. The film roughness could be reduced by using a liquid precursor with higher metal concentrations, achieving the root-mean-square value on the order of 5 nm. The refractive indices of the films are in good correspondence with their composition and crystallinity

  13. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  14. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  15. Highly efficient and reliable high power LEDs with patterned sapphire substrate and strip-shaped distributed current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Shengjun [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Yuan, Shu; Liu, Yingce [Quantum Wafer Inc., Foshan 528251 (China); Guo, L. Jay [Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109 (United States); Liu, Sheng, E-mail: victor_liu63@126.com [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); Ding, Han [State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2015-11-15

    Graphical abstract: - Highlights: • TEM is used to characterize threading dislocation existing in GaN epitaxial layer. • Effect of threading dislocation on optical and electrical of LEDs is discussed. • Strip-shaped SiO{sub 2} DCBL is designed to improve current spreading performance of LEDs. - Abstract: We demonstrated that the improvement in optical and electrical performance of high power LEDs was achieved using cone-shaped patterned sapphire substrate (PSS) and strip-shaped SiO{sub 2} distributed current blocking layer (DCBL). We found through transmission electron microscopy (TEM) observation that densities of both the screw dislocation and edge dislocation existing in GaN epitaxial layer grown on PSS were much less than that of GaN epitaxial layer grown on flat sapphire substrate (FSS). Compared to LED grown on FSS, LED grown on PSS showed higher sub-threshold forward-bias voltage and lower reverse leakage current, resulting in an enhancement in device reliability. We also designed a strip-shaped SiO{sub 2} DCBL beneath a strip-shaped p-electrode, which prevents the current from being concentrated on regions immediately adjacent the strip-shaped p-electrode, thereby facilitating uniform current spreading into the active region. By implementing strip-shaped SiO{sub 2} DCBL, light output power of high power PSS-LED chip could be further increased by 13%.

  16. Effect of sapphire substrate nitridation on the elimination of rotation domains in ZnO epitaxial films

    International Nuclear Information System (INIS)

    Ying Minju; Du Xiaolong; Mei Zengxia; Zeng Zhaoquan; Zheng Hao; Wang Yong; Jia Jinfeng; Zhang Ze; Xue Qikun

    2004-01-01

    The rotation domain structures in ZnO films grown on sapphire substrates under different pre-treatment conditions have been investigated by in situ reflection high-energy electron diffraction and ex situ x-ray diffraction (XRD). It was found that by appropriate nitridation treatment, forming a thin AlN film on the substrate, the rotation domains in ZnO films could be completely suppressed, and a full width at half maximum of only 180 arcsec was observed in the (0 0 0 2) reflection of XRD rocking curves. The mechanisms for the elimination of rotation domains in the ZnO films are discussed

  17. Possible pitfalls in search of magnetic order in thin films deposited on single crystalline sapphire substrates

    International Nuclear Information System (INIS)

    Salzer, R.; Spemann, D.; Esquinazi, P.; Hoehne, R.; Setzer, A.; Schindler, K.; Schmidt, H.; Butz, T.

    2007-01-01

    We have studied the field and temperature dependence of the magnetic moment of single crystalline sapphire substrates with different surface orientations. All the substrates show a ferromagnetic behavior that partially changes after surface cleaning. The amount of magnetic impurities in the substrates was determined by particle induced X-ray emission. The overall analysis of the data indicates that the magnetic impurities very likely contribute to the measured ferromagnetic behavior but does not rule out completely intrinsic contributions. Our work stresses the necessity to use other than bulk characterization methods for the study of weak ferromagnetic signals of thin films grown on oxide substrates

  18. Single mode operation in a pulsed Ti:sapphire laser oscillator with a grazing-incidence four-mirror cavity

    CERN Document Server

    Ko, D K; Binks, D J; Gloster, L A W; King, T A

    1998-01-01

    We demonstrate stable single mode operation in a pulsed Ti:sapphire laser oscillator with a novel grazing-incidence four-mirror coupled cavity. This cavity consists of a grating, a gain medium, and four mirrors and, therefore, has a four-arm interferometer configuration. Through the interferometric effect, we could suppress the adjacent modes and obtain stable single mode operation with a bandwidth of < 200 MHz. We also have developed a general analysis of the laser modes and the threshold conditions for configuration and the experimental results agree well with the theoretical predictions.

  19. Structural disorder in sapphire induced by 90.3 MeV xenon ions

    International Nuclear Information System (INIS)

    Kabir, A.; Meftah, A.; Stoquert, J.P.; Toulemonde, M.; Monnet, I.; Izerrouken, M.

    2010-01-01

    In our previous work , we have evidenced, using RBS-C, two effects in the aluminium sublattice of sapphire irradiated with 90.3 MeV xenon ions: a partial disorder creation that saturates at ∼40% followed above a threshold fluence by a highly disordered layer appearing behind the surface. In this work, by RBS-C analysis of the oxygen sublattice, we have observed only one regime of partial disorder creation that saturates at ∼60% in tracks of cross-section double of that found for the aluminium sublattice. Complementary analysis by X-ray diffraction shows that the lattice strain increases with the fluence until a maximum is reached about 7.5 x 10 12 ions/cm 2 . For higher fluences, strain decreases first indicating a little stress relaxation in the material and tends afterwards, to remain constant. This stress relaxation is found to be related to the aluminium sublattice high disorder.

  20. In vitro Evaluation of Effect of Dental Bleaching on the Shear Bond Strength of Sapphire Orthodontics Brackets Bonded with Resin Modified Glass Ionomer Cement

    Directory of Open Access Journals (Sweden)

    Zainab M Kadhom

    2017-11-01

    Full Text Available Aim: This study aimed to assess the effect of various types of bleaching agents on the shear bond strength of sapphire brackets bonded to human maxillary premolar teeth using resin modified glass ionomer cement (RMGIC and to determine the site of bond failure. Materials and Methods: Thirty freshly extracted maxillary human premolars were selected and assigned into three equal groups, ten teeth in each. The first group was the control (unbleached group; the second group comprised teeth bleached with hydrogen peroxide group (HP 37.5% (in-office bleaching while the third group included teeth bleached with carbamide peroxide group (CP 16% (at-home bleaching. The teeth in the experimental groups were bleached and stored in water one day then bonded with sapphire brackets using RMGIC with the control group and left another day. De-bonding was performed using Instron universal testing machine. To determine the site of bond failure, both the enamel surface and bracket base of each tooth were examined under magnifying lens (20X of a stereomicroscope. Results: Results showed statistically highly significant difference in the shear bond strengths between control group and both of bleaching groups being low in the control group. Score III was the predominant site of bond failure in all groups. Conclusions: RMGIC provides adequate bond strength when bonding the sapphire brackets to bleached enamel; this bonding was strong enough to resist both the mechanical and masticatory forces. Most of the adhesive remained on the brackets, so it reduced the time required for removal of the bonding material’s remnants during enamel finishing and polishing.

  1. Advances in Trace Element “Fingerprinting” of Gem Corundum, Ruby and Sapphire, Mogok Area, Myanmar

    Directory of Open Access Journals (Sweden)

    F. Lin Sutherland

    2014-12-01

    Full Text Available Mogok gem corundum samples from twelve localities were analyzed for trace element signatures (LA-ICP-MS method and oxygen isotope values (δ18O, by laser fluorination. The study augmented earlier findings on Mogok gem suites that suggested the Mogok tract forms a high vanadium gem corundum area and also identified rare alluvial ruby and sapphire grains characterised by unusually high silicon, calcium and gallium, presence of noticeable boron, tin and niobium and very low iron, titanium and magnesium contents. Oxygen isotope values (δ18O for the ruby and high Si-Ca-Ga corundum (20‰–25‰ and for sapphire (10‰–20‰ indicate typical crustal values, with values >20‰ being typical of carbonate genesis. The high Si-Ca-Ga ruby has high chromium (up to 3.2 wt % Cr and gallium (up to 0. 08 wt % Ga compared to most Mogok ruby (<2 wt % Cr; <0.02 wt % Ga. In trace element ratio plots the Si-Ca-Ga-rich corundum falls into separate fields from the typical Mogok metamorphic fields. The high Ga/Mg ratios (46–521 lie well within the magmatic range (>6, and with other features suggest a potential skarn-like, carbonate-related genesis with a high degree of magmatic fluid input The overall trace element results widen the range of different signatures identified within Mogok gem corundum suites and indicate complex genesis. The expanded geochemical platform, related to a variety of metamorphic, metasomatic and magmatic sources, now provides a wider base for geographic typing of Mogok gem corundum suites. It allows more detailed comparisons with suites from other deposits and will assist identification of Mogok gem corundum sources used in jewelry.

  2. Sapphire Energy - Integrated Algal Biorefinery

    Energy Technology Data Exchange (ETDEWEB)

    White, Rebecca L. [Sapphire Energy, Inc., Columbus, NM (United States). Columbus Algal Biomass Farm; Tyler, Mike [Sapphire Energy, Inc., San Diego, CA (United States)

    2015-07-22

    Sapphire Energy, Inc. (SEI) is a leader in large-scale photosynthetic algal biomass production, with a strongly cohesive research, development, and operations program. SEI takes a multidiscipline approach to integrate lab-based strain selection, cultivation and harvest and production scale, and extraction for the production of Green Crude oil, a drop in replacement for traditional crude oil.. SEI’s technical accomplishments since 2007 have produced a multifunctional platform that can address needs for fuel, feed, and other higher value products. Figure 1 outlines SEI’s commercialization process, including Green Crude production and refinement to drop in fuel replacements. The large scale algal biomass production facility, the SEI Integrated Algal Biorefinery (IABR), was built in Luna County near Columbus, New Mexico (see fig 2). The extraction unit was located at the existing SEI facility in Las Cruces, New Mexico, approximately 95 miles from the IABR. The IABR facility was constructed on time and on budget, and the extraction unit expansion to accommodate the biomass output from the IABR was completed in October 2012. The IABR facility uses open pond cultivation with a proprietary harvesting method to produce algal biomass; this biomass is then shipped to the extraction facility for conversion to Green Crude. The operation of the IABR and the extraction facilities has demonstrated the critical integration of traditional agricultural techniques with algae cultivation knowledge for algal biomass production, and the successful conversion of the biomass to Green Crude. All primary unit operations are de-risked, and at a scale suitable for process demonstration. The results are stable, reliable, and long-term cultivation of strains for year round algal biomass production. From June 2012 to November 2014, the IABR and extraction facilities produced 524 metric tons (MT) of biomass (on a dry weight basis), and 2,587 gallons of Green Crude. Additionally, the IABR

  3. Analysis of the AlGaN/GaN vertical bulk current on Si, sapphire, and free-standing GaN substrates

    International Nuclear Information System (INIS)

    Pérez-Tomás, A.; Fontserè, A.; Llobet, J.; Placidi, M.; Rennesson, S.; Chenot, S.; Moreno, J. C.; Cordier, Y.; Baron, N.

    2013-01-01

    The vertical bulk (drain-bulk) current (I db ) properties of analogous AlGaN/GaN hetero-structures molecular beam epitaxially grown on silicon, sapphire, and free-standing GaN (FS-GaN) have been evaluated in this paper. The experimental I db (25–300 °C) have been well reproduced with physical models based on a combination of Poole-Frenkel (trap assisted) and hopping (resistive) conduction mechanisms. The thermal activation energies (E a ), the (soft or destructive) vertical breakdown voltage (V B ), and the effect of inverting the drain-bulk polarity have also been comparatively investigated. GaN-on-FS-GaN appears to adhere to the resistive mechanism (E a = 0.35 eV at T = 25–300 °C; V B = 840 V), GaN-on-sapphire follows the trap assisted mechanism (E a = 2.5 eV at T > 265 °C; V B > 1100 V), and the GaN-on-Si is well reproduced with a combination of the two mechanisms (E a = 0.35 eV at T > 150 °C; V B = 420 V). Finally, the relationship between the vertical bulk current and the lateral AlGaN/GaN transistor leakage current is explored.

  4. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  5. Preparation and structural properties of YBCO films grown on GaN/c-sapphire hexagonal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, S., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Gierlowski, P. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Spankova, M.; Dobrocka, E.; Vavra, I.; Strbik, V.; Lalinsky, T.; Sojkova, M. [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Liday, J.; Vogrincic, P. [Department of Microelectronics, Slovak Technical University, Ilkovicova 3, 81219 Bratislava (Slovakia); Espinos, J.P. [Instituto de Ciencia de Materiales de Sevilla, Avda Americo Vespucio 49, 41092 Sevilla (Spain)

    2010-07-01

    Epitaxial YBCO thin films have been grown on hexagonal GaN/c-sapphire substrates using DC magnetron sputtering and pulsed laser deposition. An MgO buffer layer has been inserted between the substrate and the YBCO film as a diffusion barrier. X-ray diffraction analysis indicates a c-axis oriented growth of the YBCO films. {Phi}-scan shows surprisingly twelve maxima. Transmission electron microscopy analyses confirm an epitaxial growth of the YBCO blocks with a superposition of three a-b YBCO planes rotated by 120 deg. to each other. Auger electron spectroscopy and X-ray photoelectron spectroscopy reveal no surface contamination with Ga even if a maximum substrate temperature of 700 deg. C is applied.

  6. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  7. Improving InGaN-LED performance by optimizing the patterned sapphire substrate shape

    International Nuclear Information System (INIS)

    Huang Xiao-Hui; Liu Jian-Ping; Fan Ya-Ming; Kong Jun-Jie; Yang Hui; Wang Huai-Bing

    2012-01-01

    The epitaxial growths of GaN films and GaN-based LEDs on various patterned sapphire substrates (PSSes) with different values of fill factor (f) and slanted angle (θ) are investigated in detail. The threading dislocation (TD) density is lower in the film grown on the PSS with a smaller fill factor, resulting in a higher internal quantum efficiency (IQE). Also the ability of the LED to withstand the electrostatic discharge (ESD) increases as the fill factor decreases. The illumination output power of the LED is affected by both θ and f. It is found that the illumination output power of the LED grown on the PSS with a lower production of tan θ and f is higher than that with a higher production of tan θ and f. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  8. Parasitic lasing suppression in large-aperture Ti:sapphire amplifiers by optimizing the seed–pump time delay

    International Nuclear Information System (INIS)

    Chu, Y X; Liang, X Y; Yu, L H; Xu, L; Lu, X M; Liu, Y Q; Leng, Y X; Li, R X; Xu, Z Z

    2013-01-01

    Theoretical and experimental investigations are carried out to determine the influence of the time delay between the input seed pulse and pump pulses on transverse parasitic lasing in a Ti:sapphire amplifier with a diameter of 80 mm, which is clad by a refractive index-matched liquid doped with an absorber. When the time delay is optimized, a maximum output energy of 50.8 J is achieved at a pump energy of 105 J, which corresponds to a conversion efficiency of 47.5%. Based on the existing compressor, the laser system achieves a peak power of 1.26 PW with a 29.0 fs pulse duration. (letter)

  9. 50-fs pulse generation directly from a colliding-pulse mode-locked Ti:sapphire laser using an antiresonant ring mirror

    Science.gov (United States)

    Naganuma, Kazunori; Mogi, Kazuo

    1991-05-01

    50-fs pulses were directly generated from a colliding-pulse mode-locked Ti:sapphire laser. To achieve the colliding-pulse mode locking, a miniature antiresonant ring containing an organic saturable dye jet was employed as the end mirror for the linear cavity laser. Based on measured dispersion of intracavity elements, a prism pair was implemented to control the cavity dispersion. The generated pulses have no linear chirp but do exhibit parabolic instantaneous frequency owing to third-order dispersion introduced by the prism pair.

  10. Narrow linewidth operation of the RILIS titanium: Sapphire laser at ISOLDE/CERN

    CERN Document Server

    Rothe, S; Wendt, K D A; Fedosseev, V N; Kron, T; Marsh, B A

    2013-01-01

    A narrow linewidth operating mode for the Ti:sapphire laser of the CERN ISOLDE Resonance Ionization Laser Ion Source (RILIS) has been developed. This satisfies the laser requirements for the programme of in-source resonance ionization spectroscopy measurements and improves the selectivity for isomer separation using RILIS. A linewidth reduction from typically 10 GHz down to 1 GHz was achieved by the intra-cavity insertion of a second (thick) Fabry-Perot etalon. Reliable operation during a laser scan was achieved through motorized control of the tilt angle of each etalon. A scanning, stabilization and mode cleaning procedure was developed and implemented in LabVIEW. The narrow linewidth operation was confirmed in a high resolution spectroscopy study of francium isotopes by the Collinear Resonance Ionization Spectroscopy experiment. The resulting laser scans demonstrate the suitability of the laser, in terms of linewidth, spectral purity and stability for high resolution in-source spectroscopy and isomer select...

  11. Complementary analyses on the local polarity in lateral polarity-inverted GaN heterostructure on sapphire (0001) substrate

    International Nuclear Information System (INIS)

    Katayama, Ryuji; Kuge, Yoshihiro; Onabe, Kentaro; Matsushita, Tomonori; Kondo, Takashi

    2006-01-01

    The fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0001) using a radio-frequency-plasma-enhanced molecular beam epitaxy is demonstrated. Its microscopic properties such as surface potentials, piezoelectric polarizations, and residual carrier densities were investigated by Kelvin force microscopy and micro-Raman scattering. The inversion from Ga polarity to N polarity in a specific domain and its higher crystal perfection had been unambiguously confirmed by these complementary analyses. The results were also fairly consistent with that of KOH etching, which suggests the applicability of these processes to the fabrication of photonic nanostructures

  12. On-Line Self-Calibrating Single Crystal Sapphire Optical Sensor Instrumentation for Accurate and Reliable Coal Gasifier Temperature Measurement

    Energy Technology Data Exchange (ETDEWEB)

    Kristie Cooper; Gary Pickrell; Anbo Wang

    2005-11-01

    This report summarizes technical progress April-September 2005 on the Phase II program ''On-Line Self-Calibrating Single Crystal Sapphire Optical Sensor Instrumentation for Accurate and Reliable Coal Gasifier Temperature Measurement'', funded by the Federal Energy Technology Center of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. The objective of this program is to bring the sensor technology, which has already been demonstrated in the laboratory, to a level where the sensor can be deployed in the harsh industrial environments and will become commercially viable. Due to the difficulties described on the last report, field testing of the BPDI system has not continued to date. However, we have developed an alternative high temperature sensing solution, which is described in this report. The sensing system will be installed and tested at TECO's Polk Power Station. Following a site visit in June 2005, our efforts have been focused on preparing for that field test, including he design of the sensor mechanical packaging, sensor electronics, the data transfer module, and the necessary software codes to accommodate this application.. We are currently ready to start sensor fabrication.

  13. Passive mode locking of a femtosecond Ti:sapphire laser with pulsed synchronous pumping by a finite train of picosecond pulses

    International Nuclear Information System (INIS)

    Borisevich, N A; Buganov, O V; Tikhomirov, S A; Tolstorozhev, G B; Shkred, G L

    1999-01-01

    An analysis is made, with the aid of the self-consistent nonlinear ABCD matrix method, of the specific features of the mechanism of passive mode locking of a femtosecond Ti:sapphire laser under conditions of pulsed synchronous pumping. The conditions of stable laser operation are studied. It is proposed to use an additional aperture as an element of negative feedback for the stabilisation of passive mode locking. Practical recommendations concerning the optimisation of a femtosecond laser are given. (control of laser radiation parameters)

  14. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    Science.gov (United States)

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-01-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps). PMID:27444267

  15. Epitactical FeAl films on sapphire and their magnetic properties; Epitaktische FeAl-Filme auf Saphir und ihre magnetischen Eigenschaften

    Energy Technology Data Exchange (ETDEWEB)

    Trautvetter, Moritz

    2011-05-05

    In the presented thesis epitaxial FeAl thin films on sapphire have been prepared by pulse laser deposition (PLD). The thin films deposited at room temperature exhibits ferromagnetism and subsequent annealing is necessary to transform the thin films to paramagnetic B2-phase, where the transition temperature depends on the crystalline orientation of the sapphire substrate. Alternatively, by deposition at higher substrate temperature the B2-phase is obtained directly. However, morphology of the FeAl film is influenced by different growth modes resulting from different substrate temperatures. The paramagnetic FeAl films can then be transformed to ferromagnetic phase by successive ion irradiation. Independent of the ion species used for irradiation, the same universal relation between thin films' coercive fields and irradiation damage is identified. The ion irradiation ferromagnetism can be transformed back to paramagnetism by subsequent annealing. The mutual transition between ferromagnetic and paramagnetic phases has been performed several times and shows full reversibility. The ferromagnetic phase induced by Kr{sup +} irradiation exhibits structural relaxation, where the saturate magnetization of FeAl thin film gradually decreases in several days. Later, ion irradiation has been performed selectively on defined areas of the thin film with the help of an unconventional lithography technique. The subsequent thin film is composed of ordered hexagonal array of ferromagnetic nano-cylinders separated by a paramagnetic matrix, suggesting a promising system for magnetic data storage. (orig.)

  16. Single crystalline Er{sub 2}O{sub 3}:sapphire films as potentially high-gain amplifiers at telecommunication wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Kuznetsov, A. S.; Sadofev, S.; Schäfer, P.; Kalusniak, S.; Henneberger, F., E-mail: fh@physik.hu-berlin.de [Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15, D-12489 Berlin (Germany)

    2014-11-10

    Single crystalline thin films of Er{sub 2}O{sub 3}, demonstrating efficient 1.5 μm luminescence of Er{sup 3+} at room temperature were grown on Al{sub 2}O{sub 3} substrate by molecular beam epitaxy. The absorption coefficient at 1.536 μm was found to reach 270 cm{sup −1} translating in a maximal possible gain of 1390 dBcm{sup −1}. In conjunction with the 10% higher refractive index as compared to Al{sub 2}O{sub 3}, this opens the possibility to use Er{sub 2}O{sub 3}:sapphire films as short-length waveguide amplifiers in telecommunication.

  17. Fabrication of Ternary AgPdAu Alloy Nanoparticles on c-Plane Sapphire by the Systematical Control of Film Thickness and Deposition Sequence

    Science.gov (United States)

    Kunwar, Sundar; Pandey, Puran; Sui, Mao; Bastola, Sushil; Lee, Jihoon

    2018-06-01

    In this work, a systematic study on the fabrication of ternary AgPdAu alloy nanoparticles (NPs) on c-plane sapphire (0001) is presented and the corresponding structural and optical characteristics are demonstrated. The metallic trilayers of various thicknesses and deposition orders are annealed in a controlled manner (400 °C to 900 °C) to induce the solid-state dewetting that yields the various structural configurations of AgPdAu alloy NPs. The dewetting of relatively thicker trilayers (15 nm) is gradually progressed with void nucleation, growth, and coalescence, isolated NP formation, and shape transformation, along with the temperature control. For 6 nm thickness, owing to the sufficient dewetting of trilayers along with enhanced diffusion, dense and small spherical alloy NPs are fabricated. Depending on the specific growth condition, the surface diffusion and interdiffusion of metal atoms, surface and interface energy minimization, Rayleigh instability, and equilibrium configuration are correlated to describe the fabrication of ternary alloy NPs. Ternary alloy NPs exhibit morphology-dependent ultraviolet-visible-near infrared (UV-VIS-NIR) reflectance properties such as the inverse relationship of average reflectance with the surface coverage, absorption enhancement in specific regions, and reflectance maxima in UV and NIR regions. In addition, Raman spectra depict the six active phonon modes of sapphires and their intensity and position modulation by the alloy NPs.

  18. Surface-Energy-Anisotropy-Induced Orientation Effects on RayleighInstabilities in Sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Santala, Melissa; Glaeser, Andreas M.

    2006-01-01

    Arrays of controlled-geometry, semi-infinite pore channels of systematically varied crystallographic orientation were introduced into undoped m-plane (10{bar 1}0) sapphire substrates using microfabrication techniques and ion-beam etching and subsequently internalized by solid-state diffusion bonding. A series of anneals at 1700 C caused the breakup of these channels into discrete pores via Rayleigh instabilities. In all cases, channels broke up with a characteristic wavelength larger than that expected for a material with isotropic surface energy, reflecting stabilization effects due to surface-energy anisotropy. The breakup wavelength and the time required for complete breakup varied significantly with channel orientation. For most orientations, the instability wavelength for channels of radius R was in the range of 13.2R-25R, and complete breakup occurred within 2-10 h. To first order, the anneal times for complete breakup scale with the square of the breakup wavelength. Channels oriented along a <11{bar 2}0> direction had a wavelength of {approx} 139R, and required 468 h for complete breakup. Cross-sectional analysis of channels oriented along a <11{bar 2}0> direction showed the channel to be completely bounded by stable c(0001), r{l_brace}{bar 1}012{r_brace}, and s{l_brace}10{bar 1}1{r_brace} facets.

  19. Neutron irradiation of sapphire for compressive strengthening. II. Physical properties changes

    Energy Technology Data Exchange (ETDEWEB)

    Regan, Thomas M. E-mail: thomas_regan@uml.edu; Harris, Daniel C. E-mail: harrisdc@navair.navy.mil; Blodgett, David W.; Baldwin, Kevin C.; Miragliotta, Joseph A.; Thomas, Michael E.; Linevsky, Milton J.; Giles, John W.; Kennedy, Thomas A.; Fatemi, Mohammad; Black, David R.; Lagerloef, K. Peter D

    2002-01-01

    Irradiation of sapphire with fast neutrons (0.8-10 MeV) at a fluence of 10{sup 22}/m{sup 2} increased the c-axis compressive strength and the c-plane biaxial flexure strength at 600 deg. C by a factor of {approx}2.5. Both effects are attributed to inhibition of r-plane twin propagation by damage clusters resulting from neutron impact. The a-plane biaxial flexure strength and four-point flexure strength in the c- and m-directions decreased by 10-23% at 600 deg. C after neutron irradiation. Neutron irradiation had little or no effect on thermal conductivity, infrared absorption, elastic constants, hardness, and fracture toughness. A featureless electron paramagnetic resonance signal at g=2.02 was correlated with the strength increase: This signal grew in amplitude with increasing neutron irradiation, which also increased the compressive strength. Annealing conditions that reversed the strengthening also annihilated the g=2.02 signal. A signal associated with a paramagnetic center containing two Al nuclei was not correlated with strength. Ultraviolet and visible color centers also were not correlated with strength in that they could be removed by annealing at temperatures that were too low to reverse the compressive strengthening effect of neutron irradiation.

  20. Characterization of barium strontium titanate thin films on sapphire substrate prepared via RF magnetron sputtering system

    Science.gov (United States)

    Jamaluddin, F. W.; Khalid, M. F. Abdul; Mamat, M. H.; Zoolfakar, A. S.; Zulkefle, M. A.; Rusop, M.; Awang, Z.

    2018-05-01

    Barium Strontium Titanate (Ba0.5Sr0.5TiO3) is known to have a high dielectric constant and low loss at microwave frequencies. These unique features are useful for many electronic applications. This paper focuses on material characterization of BST thin films deposited on sapphire substrate by RF magnetron sputtering system. The sample was then annealed at 900 °C for two hours. Several methods were used to characterize the structural properties of the material such as X-ray diffraction (XRD) and atomic force microscopy (AFM). Field emission scanning electron microscopy (FESEM) was used to analyze the surface morphology of the thin film. From the results obtained, it can be shown that the annealed sample had a rougher surface and better crystallinity as compared to as-deposited sample.

  1. Sapphire capillaries for laser-driven wakefield acceleration in plasma. Fs-laser micromachining and characterization

    International Nuclear Information System (INIS)

    Schwinkendorf, Jan-Patrick

    2012-05-01

    Plasma wakefields are a promising approach for the acceleration of electrons with ultrahigh (10 to 100 GV/m) electric fields. Nowadays, high-intensity laser pulses are routinely utilized to excite these large-amplitude plasma waves. However, several detrimental effects such as laser diffraction, electron-wake dephasing and laser depletion may terminate the acceleration process. Two of these phenomena can be mitigated or avoided by the application of capillary waveguides, e.g. fabricated out of sapphire for longevity. Capillaries may compensate for laser diffraction like a fiber and allow for the creation of tapered gas-density profiles working against the dephasing between the accelerating wave and the particles. Additionally, they offer the possibility of controlled particle injection. This thesis is reporting on the set up of a laser for fs-micromachining of capillaries of almost arbitrary shapes and a test stand for density-profile characterization. These devices will permit the creation of tailored gas-density profiles for controlled electron injection and acceleration inside plasma.

  2. Sapphire capillaries for laser-driven wakefield acceleration in plasma. Fs-laser micromachining and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Schwinkendorf, Jan-Patrick

    2012-08-15

    Plasma wakefields are a promising approach for the acceleration of electrons with ultrahigh (10 to 100 GV/m) electric fields. Nowadays, high-intensity laser pulses are routinely utilized to excite these large-amplitude plasma waves. However, several detrimental effects such as laser diffraction, electron-wake dephasing and laser depletion may terminate the acceleration process. Two of these phenomena can be mitigated or avoided by the application of capillary waveguides, e.g. fabricated out of sapphire for longevity. Capillaries may compensate for laser diffraction like a fiber and allow for the creation of tapered gas-density profiles working against the dephasing between the accelerating wave and the particles. Additionally, they offer the possibility of controlled particle injection. This thesis is reporting on the set up of a laser for fs-micromachining of capillaries of almost arbitrary shapes and a test stand for density-profile characterization. These devices will permit the creation of tailored gas-density profiles for controlled electron injection and acceleration inside plasma.

  3. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  4. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  5. Optical properties tailoring by high fluence implantation of Ag ions on sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Silva, R.C. da; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Alves, E.

    2006-01-01

    Optical and structural properties of single crystalline α-Al 2 O 3 were changed by the implantation of high fluences of Ag ions. Colourless transparent (101-bar 0) sapphire samples were implanted at room temperature with 160keV silver ions and fluences up to 1x10 17 Agcm -2 . Surface amorphization is observed at the fluence of 6x10 16 Agcm -2 . Except for the lower fluences (below 6x10 16 Agcm -2 ) the optical absorption spectra reveal the presence of a band peaking in the region 450-500nm, depending on the retained fluence. This band has been attributed to the presence of silver colloids, being thus 1x10 16 Agcm -2 below the threshold for colloid formation during the implantation. Annealing in oxidizing atmosphere promotes the recrystallization along with segregation of Ag followed by loss through evaporation. Recrystallization is retarded for annealing in reducing atmosphere and the Ag profile displays now a double peak structure after evaporation. Playing with the implantation fluence, temperature and annealing atmosphere controllable shifts of the position and intensity of the optical bands in the visible were achieved

  6. Mosaic Structure Characterization of the AlInN Layer Grown on Sapphire Substrate

    Directory of Open Access Journals (Sweden)

    Engin Arslan

    2014-01-01

    Full Text Available The 150 nm thick, (0001 orientated wurtzite-phase Al1−xInxN epitaxial layers were grown by metal organic chemical vapor deposition on GaN (2.3 µm template/(0001 sapphire substrate. The indium (x concentration of the Al1−xInxN epitaxial layers was changed as 0.04, 0.18, 0.20, 0.47, and 0.48. The Indium content (x, lattice parameters, and strain values in the AlInN layers were calculated from the reciprocal lattice mapping around symmetric (0002 and asymmetric (10–15 reflection of the AlInN and GaN layers. The mosaic structure characteristics of the AlInN layers, such as lateral and vertical coherence lengths, tilt and twist angle, heterogeneous strain, and dislocation densities (edge and screw type dislocations of the AlInN epilayers, were investigated by using high-resolution X-ray diffraction measurements and with a combination of Williamson-Hall plot and the fitting of twist angles.

  7. A sapphire monolithic differential accelerometer as core sensor for gravity gradiometric geophysical instrumentation

    Directory of Open Access Journals (Sweden)

    F. Mango

    2006-06-01

    Full Text Available Gradiometric gravimetry is a survey technique widely used in geological structure investigation. This work demonstrates the feasibility of a new class of low frequency accelerometers for geodynamics studies and space applications. We present the design features of a new low noise single-axis differential accelerometer; the sensor is suitable to be used in a Gravity Gradiometer (GG system for land geophysical survey and gravity gradient measurements. A resolution of 1 Eötvös (1 Eö=10?9s?2 at one sample per second is achievable in a compact, lightweight (less than 2 kg portable instrument, operating at room temperature. The basic components of the sensor are two identical rigidly connected accelerometers separated by a 15-cm baseline vector and the useful signal is extracted as the subtraction of the two outputs, by means of an interferometric microwave readout system. The structure will be engraved in a monocrystal of sapphire by means of Computer-Numerically-Controlled (CNC ultrasonic machining: the material was chosen because of its unique mix of outstanding mechanical and dielectric properties.

  8. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Cracking of GaN on sapphire from etch-process-induced nonuniformity in residual thermal stress

    International Nuclear Information System (INIS)

    Lacroix, Yves; Chung, Sung-Hoon; Sakai, Shiro

    2001-01-01

    An experiment was performed to explain the appearance of cracks along mesa structures during the processing of GaN device layers grown on sapphire substrates. Micro-Raman spectroscopy was used to measure the position-dependent stress in the GaN layer. We show evidence that the stress at the interface with the substrate may be larger along the mesa structures than that of the as-grown layer, and that this increase in stress can be enough to induce cracks along mesa structures during processing. We report on the formation of cracks that propagate guided by the nonuniformity of the stress induced by the formation of mesa structures in the GaN layer, independent of crystal direction. The understanding of cracking mechanisms has implications in GaN-based device structures that require heteroepitaxial growth of layers with different lattice size and thermal expansion coefficients. [copyright] 2001 American Institute of Physics

  10. High-phase-purity zinc-blende InN on r-plane sapphire substrate with controlled nitridation pretreatment

    International Nuclear Information System (INIS)

    Hsiao, C.-L.; Wu, C.-T.; Hsu, H.-C.; Hsu, G.-M.; Chen, L.-C.; Liu, T.-W.; Shiao, W.-Y.; Yang, C. C.; Gaellstroem, Andreas; Holtz, Per-Olof; Chen, C.-C.; Chen, K.-H.

    2008-01-01

    High-phase-purity zinc-blende (zb) InN thin film has been grown by plasma-assisted molecular-beam epitaxy on r-plane sapphire substrate pretreated with nitridation. X-ray diffraction analysis shows that the phase of the InN films changes from wurtzite (w) InN to a mixture of w-InN and zb-InN, to zb-InN with increasing nitridation time. High-resolution transmission electron microscopy reveals an ultrathin crystallized interlayer produced by substrate nitridation, which plays an important role in controlling the InN phase. Photoluminescence emission of zb-InN measured at 20 K shows a peak at a very low energy, 0.636 eV, and an absorption edge at ∼0.62 eV is observed at 2 K, which is the lowest bandgap reported to date among the III-nitride semiconductors

  11. Photoluminescence studies of ZnO thin films on R-plane sapphire substrates grown by sol-gel method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min Su [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Nam, Giwoong; Kim, Soaram [Department of Nano Engineering, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Kim, Do Yeob [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Lee, Dong-Yul [LED R and D team, Samsung Electronics Co. Ltd., Yongin 446-711 (Korea, Republic of); Kim, Jin Soo [Research Center of Advanced Materials Development (RCAMD), Division of Advanced Materials Engineering, Chonbuk National University, Jeonju, Chonbuk 561-756 (Korea, Republic of); Kim, Sung-O [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Kim, Jong Su [Department of Physics, Yeungnam University, Gyeongsan, Gyeongsangbuk-do 712-749 (Korea, Republic of); Son, Jeong-Sik [Department of Visual Optics, Kyungwoon University, Gumi, Gyeongsangbuk-do 730-850 (Korea, Republic of); Leem, Jae-Young, E-mail: jyleem@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of)

    2012-10-15

    Zinc oxide (ZnO) thin films on R-plane sapphire substrates were grown by the sol-gel spin-coating method. The optical properties of the ZnO thin films were investigated using photoluminescence. In the UV range, the asymmetric near-band-edge emission was observed at 300 K, which consisted of two emissions at 3.338 and 3.279 eV. Eight peaks at 3.418, 3.402, 3.360, 3.288, 3.216, 3.145, 3.074, and 3.004 eV, which respectively correspond to the free exciton (FX), bound exciton, transverse optical (TO) phonon replica of FX recombination, and first-order longitudinal optical phonon replica of FX and the TO (1LO+TO), 2LO+TO, 3LO+TO, 4LO+TO, and 5LO+TO, were obtained at 12 K. From the temperature-dependent PL, it was found that the emission peaks at 3.338 and 3.279 eV corresponded to the FX and TO, respectively. The activation energy of the FX and TO emission peaks was found to be about 39.3 and 28.9 meV, respectively. The values of the fitting parameters of Varshni's empirical equation were {alpha}=4 Multiplication-Sign 10{sup -3} eV/K and {beta}=4.9 Multiplication-Sign 10{sup 3} K, and the S factor of the ZnO thin films was 0.658. With increasing temperature, the exciton radiative lifetime of the FX and TO emissions increased. The temperature-dependent variation of the exciton radiative lifetime for the TO emission was slightly higher than that for the FX emission. - Highlights: Black-Right-Pointing-Pointer ZnO thin films on R-plane sapphire substrates were grown by sol-gel method. Black-Right-Pointing-Pointer Two emission peaks at 3.338 and 3.279 eV were observed at 300 K Black-Right-Pointing-Pointer Activation energies of the two peaks were 39.3 and 28.9 meV,respectively. Black-Right-Pointing-Pointer Exciton radiative lifetime of the two peaks increased with increasing temperature.

  12. Analyses of hydrogen in quartz and in sapphire using depth profiling by ERDA at atmospheric pressure: Comparison with resonant NRA and SIMS

    International Nuclear Information System (INIS)

    Reiche, Ina; Castaing, Jacques; Calligaro, Thomas; Salomon, Joseph; Aucouturier, Marc; Reinholz, Uwe; Weise, Hans-Peter

    2006-01-01

    Hydrogen is present in anhydrous materials as a result of their synthesis and of their environment during conservation. IBA provides techniques to measure H concentration depth profiles allowing to identify various aspects of the materials including the history of objects such as gemstones used in cultural heritage. A newly established ERDA set-up, using an external microbeam of alpha particles, has been developed to study hydrated near-surface layers in quartz and sapphire by non-destructive H depth profiling in different atmospheres. The samples were also analysed using resonant NRA and SIMS

  13. Analyses of hydrogen in quartz and in sapphire using depth profiling by ERDA at atmospheric pressure: Comparison with resonant NRA and SIMS

    Energy Technology Data Exchange (ETDEWEB)

    Reiche, Ina [Laboratoire du Centre de recherche et de restauration des musees de France (C2RMF), UMR 171 CNRS, 14 quai Francois Mitterrand, 75001 Paris (France); Castaing, Jacques [Laboratoire du Centre de recherche et de restauration des musees de France (C2RMF), UMR 171 CNRS, 14 quai Francois Mitterrand, 75001 Paris (France)]. E-mail: jacques.castaing@culture.fr; Calligaro, Thomas [Laboratoire du Centre de recherche et de restauration des musees de France (C2RMF), UMR 171 CNRS, 14 quai Francois Mitterrand, 75001 Paris (France); Salomon, Joseph [Laboratoire du Centre de recherche et de restauration des musees de France (C2RMF), UMR 171 CNRS, 14 quai Francois Mitterrand, 75001 Paris (France); Aucouturier, Marc [Laboratoire du Centre de recherche et de restauration des musees de France (C2RMF), UMR 171 CNRS, 14 quai Francois Mitterrand, 75001 Paris (France); Reinholz, Uwe [Federal Institute for Materials Research and Testing (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Weise, Hans-Peter [Federal Institute for Materials Research and Testing (BAM), Unter den Eichen 87, 12205 Berlin (Germany)

    2006-08-15

    Hydrogen is present in anhydrous materials as a result of their synthesis and of their environment during conservation. IBA provides techniques to measure H concentration depth profiles allowing to identify various aspects of the materials including the history of objects such as gemstones used in cultural heritage. A newly established ERDA set-up, using an external microbeam of alpha particles, has been developed to study hydrated near-surface layers in quartz and sapphire by non-destructive H depth profiling in different atmospheres. The samples were also analysed using resonant NRA and SIMS.

  14. Critical thickness for Nb nanofilm on sapphire substrate: a critical analysis using finite element method

    International Nuclear Information System (INIS)

    Kumar, Arun; Subramaniam, Anandh

    2009-01-01

    Full text: On growth beyond critical thickness, interfacial misfit dislocations partially relax the misfit strains, in epitaxially grown nanofilms. In this study the stress state and growth of nanofilms is simulated using Finite Element Method (FEM); by imposing stress-free strains, corresponding to the lattice mismatch between Nb nanofilm and Sapphire substrate. On growth of the Nb nanofilm, a triangular network of edge misfit dislocations nucleates at the (0001) Al2ο3 || (111) Nb , interface. Using a combined simulation of a coherently strained nanofilm and an edge dislocation, the equilibrium criterion for the nucleation of an edge dislocation is determined. Theoretical analyses in literature use only the component of the Burger's vector parallel to the interface, which is an erroneous description of the stress state and energetics of the system. In this investigation the full interfacial edge dislocation is simulated using standard commercially available software and comparisons are made with results available in literature to bring out the utility of the methodology

  15. Indentation-Induced Mechanical Deformation Behaviors of AlN Thin Films Deposited on c-Plane Sapphire

    International Nuclear Information System (INIS)

    Jian, Sh.R.; Juang, J.Y.

    2012-01-01

    The mechanical properties and deformation behaviors of AlN thin films deposited on c-plane sapphire substrates by helicon sputtering method were determined using the Berkovich nano indentation and cross-sectional transmission electron microscopy (XTEM). The load-displacement curves show the 'pop-ins' phenomena during nano indentation loading, indicative of the formation of slip bands caused by the propagation of dislocations. No evidence of nano indentation-induced phase transformation or cracking patterns was observed up to the maximum load of 80 mN, from either XTEM or atomic force microscopy (AFM) of the mechanically deformed regions. Instead, XTEM revealed that the primary deformation mechanism in AlN thin films is via propagation of dislocations on both basal and pyramidal planes. Furthermore, the hardness and Young's modulus of AlN thin films estimated using the continuous contact stiffness measurements (CSMs) mode provided with the nanoindenter are 16.2 GPa and 243.5 GPa, respectively.

  16. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  17. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  18. Photoluminescence characteristics of low indium composition InGaN thin films grown on sapphire by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, Z.C.; Liu, W.; Chua, S.J.; Yu, J.W.; Yang, C.C.; Yang, T.R.; Zhao, J.

    2006-01-01

    The wavelength shifts in the photoluminescence (PL) from low indium composition (∼ 3%) InGaN epitaxial thin films, grown on sapphire substrates by metalorganic chemical vapour deposition, has been studied by a combination of experiment and theory. As temperature increases from 6 K, the PL peak energy red-shifts very slightly first, then blue-shifts to reach a maximum at near 100 K, and red-shifts again till room temperature. This unique PL behaviour, indicating the existence of the phase separation, is interpreted qualitatively from the spatial variation of band structure due to the In-compositional fluctuation. Theoretical calculation, based upon a model involving the band-tail states in the radiative recombination, explains the experimental data successfully

  19. Effect of the laser sputtering parameters on the orientation of a cerium oxide buffer layer on sapphire and the properties of a YBa2Cu3Ox superconducting film

    DEFF Research Database (Denmark)

    Mozhaev, P. B.; Ovsyannikov, G. A.; Skov, Johannes

    1999-01-01

    The effect of the laser sputtering parameters on the crystal properties of CeO2 buffer layers grown on a (1 (1) under bar 02) sapphire substrate and on the properties of superconducting YBa2Cu3Ox thin films are investigated. It is shown that (100) and (111) CeO2 growth is observed, depending on t...

  20. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  1. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    Science.gov (United States)

    Ueno, Kohei; Kishikawa, Eiji; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-02-01

    High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001) via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101 ¯ 2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6 μ m . Repeatable p-type doping in N-polar GaN films was achieved using Mg dopant, and their hole concentration and mobility can be controlled in the range of 8 × 1016-2 × 1018 cm-3 and 2-9 cm2V-1s-1, respectively. The activation energy of Mg in N-polar GaN based on a temperature-dependent Hall measurement was estimated to be 161 meV, which is comparable to that of the Ga-polar GaN. Based on these results, we demonstrated the fabrication of N-polar InGaN-based light emitting diodes with the long wavelength up to 609 nm.

  2. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    Directory of Open Access Journals (Sweden)

    Kohei Ueno

    2017-02-01

    Full Text Available High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001 via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101¯2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6μm. Repeatable p-type doping in N-polar GaN films was achieved using Mg dopant, and their hole concentration and mobility can be controlled in the range of 8 × 1016–2 × 1018 cm−3 and 2–9 cm2V−1s−1, respectively. The activation energy of Mg in N-polar GaN based on a temperature-dependent Hall measurement was estimated to be 161 meV, which is comparable to that of the Ga-polar GaN. Based on these results, we demonstrated the fabrication of N-polar InGaN-based light emitting diodes with the long wavelength up to 609 nm.

  3. Influence of laser sputtering parameters on orientation of cerium oxide buffer layer on sapphire and properties of YBa2Cu3Ox superconducting film

    International Nuclear Information System (INIS)

    Mozhaev, P.B.; Ovsyannikov, G.A.; Skov, J.L.

    1999-01-01

    Effect of laser sputtering parameters on crystalline properties of CeO 2 buffer layers grown on (1102) orientation sapphire substrate and on properties of YBa 2 Cu 3 O x superconducting thin films was studied. It was shown that depending on the sputtering conditions one might observe growth of CeO 2 (100) and (111) orientations. Varying heater temperature, chamber pressure and density of laser ray energy on the target one managed to obtain mono-oriented buffer layer of the desired orientation [ru

  4. Dependence of secondary electron emission on surface charging in sapphire and polycrystalline alumina: Evaluation of the effective cross sections for recombination and trapping

    International Nuclear Information System (INIS)

    Said, K.; Damamme, G.; Si Ahmed, A.; Moya, G.; Kallel, A.

    2014-01-01

    Highlights: • A novel approach for the analysis of the secondary electron emission in connection with the surface density of trapped charges. • Experimental estimation of the effective cross section for electron–hole recombination and electron trapping in defects. • A simplified charge transport and trapping model which corroborates qualitatively the interpretation of the results. - Abstract: The evolution of the secondary electron emission from sapphire and polycrystalline alumina during electron irradiation, achieved in a scanning electron microscope at room temperature, is derived from the measurement of the induced and the secondary electron currents. The semi-logarithmic plot of the secondary electron emission yield versus the surface density of trapped charges displays a plateau followed by a linear variation. For positive charging, the slope of the linear part, whose value is of about 10 −9 cm 2 , is independent of the primary electron energy, the microstructure and the impurities. It is interpreted as an effective microscopic cross section for electron–hole recombination. For negative charging of sapphire, the slope is associated with an effective electron trapping cross section close to 10 −11 cm 2 , which can be assigned to the dominant impurity trap. These effective values reflect the multiple interactions leading to the accumulation of charges. The yield corresponding to the plateau is controlled by the initial density of impurity traps. A charge transport and trapping >model, based on simplifying assumptions, confirms qualitatively these inferences

  5. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  6. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    Science.gov (United States)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  7. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process; Utilisation d'une nouvelle reflectometrie spectroscopique pour optimiser un procede de fabrication CMOS/SOS durci aux radiations

    Energy Technology Data Exchange (ETDEWEB)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D. [Raytheon Systems company, Microelectronics Div., Newport Beach, California (United States); Li, G.P.; Tsai, C.S. [California Univ., School of Engineering, Newport Beach, CA (United States)

    1999-07-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  8. Solid state dewetting and stress relaxation in a thin single crystalline Ni film on sapphire

    International Nuclear Information System (INIS)

    Rabkin, E.; Amram, D.; Alster, E.

    2014-01-01

    In this study, we deposited a 80 nm thick single crystalline Ni film on a sapphire substrate. Heat treatment of this film at 1000 °C followed by slow cooling resulted in the formation of faceted holes, star-like channel instabilities and faceted microwires. The ridges at the rims of faceted holes and channels exhibited a twinning orientation relationship with the rest of the film. A sub-nanometer-high hexagonal topography pattern on the surface of the unperturbed film was observed by atomic force microscopy. No such pattern was observed on the top facets of isolated Ni particles and hole ridges. We discuss the observed dewetting patterns in terms of the effects of Ni surface anisotropy and faceting on solid state dewetting. The hexagonal pattern on the surface of the unperturbed film was attributed to thermal stress relaxation in the film via dislocations glide. This work demonstrates that solid state dewetting of single crystalline metal films can be utilized for film patterning and for producing hierarchical surface topographies

  9. High energy (MeV) ion beam modifications of sputtered MoS2 coatings on sapphire

    International Nuclear Information System (INIS)

    Bhattacharya, R.S.; Rai, A.K.; Erdemir, A.

    1991-01-01

    The present article reports on the results of our investigations of high-energy (MeV) ion irradiation on the microstructural and tribological properties of dc magnetron sputtered MoS 2 films. Films of thicknesses 500-7500 A were deposited on NaCl, Si and sapphire substrates and subsequently ion irradiated by 2 MeV Ag + ions at a dose of 5x10 15 cm -2 . Scanning and transmission electron microscopy. Rutherford backscattering and X-ray diffraction techniques were utilized to study the structural, morphological and compositional changes of the film due to ion irradiation. The friction coefficient and sliding life were determined by pin-on-disc tests. Both as-deposited and ion-irradiated films were found to be amorphous having a stoichiometry of MoS 1.8 . A low friction coefficient in the range 0.03-0.04 was measured for both as-deposited and ion-irradiated films. However, the sliding life of ion-irradiated film was found to increase more than tenfold compared to as-deposited films indicating improved bonding at the interface. (orig.)

  10. Channel Temperature Determination for AlGaN/GaN HEMTs on SiC and Sapphire

    Science.gov (United States)

    Freeman, Jon C.; Mueller, Wolfgang

    2008-01-01

    Numerical simulation results (with emphasis on channel temperature) for a single gate AlGaN/GaN High Electron Mobility Transistor (HEMT) with either a sapphire or SiC substrate are presented. The static I-V characteristics, with concomitant channel temperatures (T(sub ch)) are calculated using the software package ATLAS, from Silvaco, Inc. An in-depth study of analytical (and previous numerical) methods for the determination of T(sub ch) in both single and multiple gate devices is also included. We develop a method for calculating T(sub ch) for the single gate device with the temperature dependence of the thermal conductivity of all material layers included. We also present a new method for determining the temperature on each gate in a multi-gate array. These models are compared with experimental results, and show good agreement. We demonstrate that one may obtain the channel temperature within an accuracy of +/-10 C in some cases. Comparisons between different approaches are given to show the limits, sensitivities, and needed approximations, for reasonable agreement with measurements.

  11. Effect of Top-Region Area of Flat-Top Pyramid Patterned Sapphire Substrate on the Optoelectronic Performance of GaN-Based Light-Emitting Diodes

    Directory of Open Access Journals (Sweden)

    Hsu-Hung Hsueh

    2016-01-01

    Full Text Available The flat-top pyramid patterned sapphire substrates (FTP-PSSs have been prepared for the growth of GaN epilayers and the fabrication of lateral-type light-emitting diodes (LEDs with an emission wavelength of approximately 470 nm. Three kinds of FTP-PSSs, which were denoted as FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C, respectively, were formed through the sequential wet etching processes. The diameters of circle areas on the top regions of these three FTP-PSSs were 1, 2, and 3 μm, respectively. Based on the X-ray diffraction results, the full-width at half-maximum values of rocking curves at (002 plane for the GaN epilayers grown on conventional sapphire substrate (CSS, FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C were 412, 238, 346, and 357 arcsec, while these values at (102 plane were 593, 327, 352, and 372 arcsec, respectively. The SpeCLED-Ratro simulation results reveal that the LED prepared on FTP-PSS-A has the highest light extraction efficiency than that of the other devices. At an injection current of 350 mA, the output powers of LEDs fabricated on CSS, FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C were 157, 254, 241, and 233 mW, respectively. The results indicate that both the crystal quality of GaN epilayer and the light extraction of LED can be improved via the use of FTP-PSS, especially for the FTP-PSS-A.

  12. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  13. Hybrid Ti:Sapphire / KrF laser facility GARPUN for combined subpicosecond/nanosecond laser-matter interaction studies

    International Nuclear Information System (INIS)

    Zvorykin, V.D.; Ionin, A.A.; Konyashcenko, A.V.; Levchenko, A.O.; Krokhin, O.N.; Mesyats, G.A.; Molchanov, A.G.; Rorulev, M.A.

    2006-01-01

    Complete test of publication follows. Hybrid laser facility consisting of Ti:Sapphire front end, 3ω converter, and e-beam-pumped large-aperture KrF amplifiers is under construction to generate combined sub-picosecond/nanosecond pulses in UV spectral range at 248-nm wavelength. This is a part of the Petawatt excimer laser project started at P.N. Lebedev Physical Institute. In comparison with commonly used solid-state chirped-pulse amplifiers (CPA), KrF amplifiers have following advantages: (i) low-density gaseous matter with three orders of magnitude lower non-linear refraction index has a small value of B-integral and negligible pulse distortion; (ii) short radiation lifetime τ r = 6 ns of the upper laser level of KrF(B-X) transition (with accounting for collisions τ c ∼ 2 ns), that means the population inversion is recovered each 2 ns during the pumping time, which is typically τ p ≥ 100 ns for technical reasons. Thus, it might be possible eliminating of very costly large-aperture compressor gratings and to amplify both short τ sh c and long τ long ≥ τ c pulses in the same amplifiers, as a short pulse does not affect the gain during the most of pumping. This gives a unique opportunity for realization of fast-ignition scheme in Inertial Confinement Fusion using large-scale KrF drivers. The Ti:Sapphire front end 'Start 248M' currently operates with the following parameters: rep rate 10 Hz, pulse energy and duration at fundamental wavelength (744 nm) > 8 mJ and 0.5 mJ and 0.4 mJ, 740 nm) and multi-pass amplifier (10 Hz, > 15 mJ, 740 nm), both pumped by 2ω pulsed Lotis LS-2134 Nd:YAG laser (10 Hz, 10 ns, 532 nm) with distributed energies of 5 and 70 mJ, two-gratings compressor, and 3ω converter with two BBO crystals and total efficiency 8%. EMG 150MSC Lambda Physik KrF laser is used afterwards to generate ns pulses and to amplify fs pulses in its two separate discharge chambers. Two e-beam pumped KrF amplifiers Berdysh and GARPUN with active volumes 10

  14. Contribution to the microwave characterisation of superconductive materials by means of sapphire resonators; Contribution a la caracterisation hyperfrequence de materiaux supraconducteurs par des resonateurs-saphirs

    Energy Technology Data Exchange (ETDEWEB)

    Hanus, Xavier

    1993-12-06

    The objective of this research thesis is to find a compact resonant structure which would allow the residual surface impedance of superconductive samples to be simply, quickly and economically characterised. The author first explains why he decided to use a sapphire single-crystal as inner dielectric, given some performance reached by resonant structures equipped with such inner dielectrics, and given constraints adopted from the start. He explains the origin of microwave losses which appear in this type of resonant structure, i.e. respectively the surface impedance as far as metallic losses are concerned, and the sapphire dielectric loss angle for as far as dielectric losses are concerned. The experimental installation and the principle of microwave measurements are described. The performance of different possible solutions of resonant structures from starting criteria is presented. The solution of the cavity-sapphire with a TE{sub 011} resonant mode is derived [French] Le but de cette etude est de trouver une structure resonnante compacte permettant de caracteriser simplement, rapidement et economiquement l'impedance de surface residuelle d'echantillons supraconducteurs. Les contraintes de mise en oeuvre et les performances atteintes par des resonateurs avec saphirs synthetiques justifient le choix d'un tel dielectrique a faible angle de perte. L'evaluation des performances experimentales appuyee par des modelesanalytiques permet de rejeter differentes solutions. Ainsi les resonateurs fermes avec saphirs minces sont rejetes en raison des mauvais contacts metalliques. Les resonateurs ouverts avec saphirs minces et epais sont egalement rejetes, meme pour les modes de resonance en principe confines, en raison des pertes par rayonnement. La seule solution est donc d'utiliser une cavite-saphir TE{sub 011} qui offre une configuration de champs naturellement confines. Des mesures sur une premiere cavite en niobium massif ont permis de selectionner un saphir obtenu par

  15. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    Science.gov (United States)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  16. Two-colour high-speed asynchronous optical sampling based on offset-stabilized Yb:KYW and Ti:sapphire oscillators.

    Science.gov (United States)

    Krauß, N; Schäfer, G; Flock, J; Kliebisch, O; Li, C; Barros, H G; Heinecke, D C; Dekorsy, T

    2015-07-13

    We present a high-speed asynchronous optical sampling system, based on two different Kerr-lens mode-locked lasers with a GHz repetition rate: An Yb:KYW oscillator and a Ti:sapphire oscillator are synchronized in a master-slave configuration at a repetition rate offset of a few kHz. This system enables two-colour pump-probe measurements with resulting noise floors below 10⁻⁶ at a data aquisition time of 5 seconds. The measured temporal resolution within the 1 ns time window is below 350 fs, including a timing jitter of less than 50 fs. The system is applied to investigate zone-folded coherent acoustic phonons in two different semiconductor superlattices in transmission geometry at a probe wavelength far below the bandgap of the superlattice constituents. The lifetime of the phonon modes with a zero wave vector and frequencies in the range from 100 GHz to 500 GHz are measured at room temperature and compared with previous work.

  17. Hydrogen maser clocks in space for solid-Earth research and time-transfer applications: Experiment overview and evaluation of Russian miniature sapphire loaded cavity

    Science.gov (United States)

    Busca, G.; Bernier, L. G.; Silvestrin, P.; Feltham, S.; Gaygerov, B. A.; Tatarenkov, V. M.

    1994-05-01

    The Observatoire Cantonal de Neuchatel (ON) is developing for ESTEC a compact H-maser for space use based upon a miniature sapphire loaded microwave cavity, a technique pioneered at VNIIFTRI. Various contacts between West-European parties, headed by ESA, and the Russian parties, headed by ESA, led to the proposal for flying two H-masers on Meteor 3M, a Russian meteorology satellite in low polar orbit. The experiment will include two masers, one provided by ON and the other by VNIIFTRI. T/F transfer and precise positioning will be performed by both a microwave link, using PRARE equipment, and an optical link, using LASSO-like equipment. The main objectives of the experiment are precise orbit determination and point positioning for geodetic/geophysical research, ultra-accurate time comparison and dissemination as well as in-orbit demonstration of operation and performance of H-masers. Within the scope of a preliminary space H-maser development phase performed for ESTEC at ON in preparation to the joint experiment, a Russian miniature sapphire loaded microwave cavity, on loan from VNIIFTRI, was evaluated in a full-size EFOS hydrogen maser built by ON. The experimental evaluation confirmed the theoretical expectation that with a hydrogen storage volume of only 0.65 liter an atomic quality factor of 1.5 x 10(exp 9) can be obtained for a -105 dBm output power. This represents a theoretical Allan deviation of 1.7 x 10(exp -15) averaged on a 1000 s time interval. From a full-size design to a compact one, therefore, the sacrifice in performance due to the reduction of the storage volume is very small.

  18. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    Science.gov (United States)

    Zhu, Nai-Wei; Hu, Ming; Xia, Xiao-Xu; Wei, Xiao-Ying; Liang, Ji-Ran

    2014-04-01

    The VO2 thin film with high performance of metal-insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively.

  19. Time-resolved photoemission micro-spectrometer using higher-order harmonics of Ti:sapphire laser

    International Nuclear Information System (INIS)

    Azuma, J.; Kamada, M.; Kondo, Y.

    2004-01-01

    Full text: A new photoemission spectrometer is under construction for the photoemission microscopy and the time-resolved pump- probe experiment. The higher order harmonics of the Ti:sapphire laser is used as the light source of the VUV region in this system. Because the fundamental laser is focused tightly into the rare gas jet to generate the higher order harmonics, the spot size of the laser, in other words, the spot size of the VUV light source is smaller than a few tens of micrometer. This smallness of the spot size has advantage for the microscopy. In order to compensate the low flux of the laser harmonics, a multilayer-coated schwaltzshild optics was designed. The multilayers play also as the monochromatic filter. The spatial resolution of this schwaltzshild system is found to be less than 1 micrometer by the ray-tracing calculations. A main chamber of the system is equipped with a time-of-flight energy analyzer to improve the efficiency of the electron detection. The main chamber and the gas chamber are separated by a differential pumping chamber and a thin Al foil. The system is designed for the study of the clean surface. It will be capable to perform the sub-micron photoemission microscopy and the femto-second pump-probe photoemission study for the various photo-excited dynamics on clean surfaces

  20. The effects of incomplete annealing on the temperature dependence of sheet resistance and gage factor in aluminum and phosphorus implanted silicon on sapphire

    Science.gov (United States)

    Pisciotta, B. P.; Gross, C.

    1976-01-01

    Partial annealing of damage to the crystal lattice during ion implantation reduces the temperature coefficient of resistivity of ion-implanted silicon, while facilitating controlled doping. Reliance on this method for temperature compensation of the resistivity and strain-gage factor is discussed. Implantation conditions and annealing conditions are detailed. The gage factor and its temperature variation are not drastically affected by crystal damage for some crystal orientations. A model is proposed to account for the effects of electron damage on the temperature dependence of resistivity and on silicon piezoresistance. The results are applicable to the design of silicon-on-sapphire strain gages with high gage factors.

  1. Gate-Recessed AlGaN/GaN MOSHEMTs with the Maximum Oscillation Frequency Exceeding 120 GHz on Sapphire Substrates

    International Nuclear Information System (INIS)

    Kong Xin; Wei Ke; Liu Guo-Guo; Liu Xin-Yu

    2012-01-01

    Gate-recessed AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) on sapphire substrates are fabricated. The devices with a gate length of 160 nm and a gate periphery of 2 × 75 μm exhibit two orders of magnitude reduction in gate leakage current and enhanced off-state breakdown characteristics, compared with conventional HEMTs. Furthermore, the extrinsic transconductance of an MOSHEMT is 237.2 mS/mm, only 7% lower than that of Schottky-gate HEMT. An extrinsic current gain cutoff frequency f T of 65 GHz and a maximum oscillation frequency f max of 123 GHz are deduced from rf small signal measurements. The high f max demonstrates that gate-recessed MOSHEMTs are of great potential in millimeter wave frequencies. (cross-disciplinary physics and related areas of science and technology)

  2. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  3. Efficient evaluation of epitaxial MoS2 on sapphire by direct band structure imaging

    Science.gov (United States)

    Kim, Hokwon; Dumcenco, Dumitru; Fregnaux, Mathieu; Benayad, Anass; Kung, Yen-Cheng; Kis, Andras; Renault, Olivier; Lanes Group, Epfl Team; Leti, Cea Team

    The electronic band structure evaluation of two-dimensional metal dichalcogenides is critical as the band structure can be greatly influenced by the film thickness, strain, and substrate. Here, we performed a direct measurement of the band structure of as-grown monolayer MoS2 on single crystalline sapphire by reciprocal-space photoelectron emission microscopy with a conventional laboratory ultra-violet He I light source. Arrays of gold electrodes were deposited onto the sample in order to avoid charging effects due to the insulating substrate. This allowed the high resolution mapping (ΔE = 0.2 eV Δk = 0.05 Å-1) of the valence states in momentum space down to 7 eV below the Fermi level. The high degree of the epitaxial alignment of the single crystalline MoS2 nuclei was verified by the direct momentum space imaging over a large area containing multiple nuclei. The derived values of the hole effective mass were 2.41 +/-0.05 m0 and 0.81 +/-0.05 m0, respectively at Γ and K points, consistent with the theoretical values of the freestanding monolayer MoS2 reported in the literature. HK acknowledges the french CEA Basic Technological Research program (RTB) for funding.

  4. Femtosecond resolution timing jitter correction on a TW scale Ti:sapphire laser system for FEL pump-probe experiments.

    Science.gov (United States)

    Csatari Divall, Marta; Mutter, Patrick; Divall, Edwin J; Hauri, Christoph P

    2015-11-16

    Intense ultrashort pulse lasers are used for fs resolution pump-probe experiments more and more at large scale facilities, such as free electron lasers (FEL). Measurement of the arrival time of the laser pulses and stabilization to the machine or other sub-systems on the target, is crucial for high time-resolution measurements. In this work we report on a single shot, spectrally resolved, non-collinear cross-correlator with sub-fs resolution. With a feedback applied we keep the output of the TW class Ti:sapphire amplifier chain in time with the seed oscillator to ~3 fs RMS level for several hours. This is well below the typical pulse duration used at FELs and supports fs resolution pump-probe experiments. Short term jitter and long term timing drift measurements are presented. Applicability to other wavelengths and integration into the timing infrastructure of the FEL are also covered to show the full potential of the device.

  5. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  6. MgxZn1-xO(0≤x<0.2) nanowire arrays on sapphire grown by high-pressure pulsed-laser deposition

    International Nuclear Information System (INIS)

    Lorenz, M.; Kaidashev, E.M.; Rahm, A.; Nobis, Th.; Lenzner, J.; Wagner, G.; Spemann, D.; Hochmuth, H.; Grundmann, M.

    2005-01-01

    Mg x Zn 1-x O nanowires with Mg-content x from 0 to 0.2 have been grown by high-pressure pulsed-laser deposition (PLD) on gold-covered sapphire single crystals. The PLD process allows for a unique wide-range control of morphology, diameter, and composition of the Mg x Zn 1-x O nanowires. The diameter of single ZnO wires could be varied between about 50 and 3000 nm, and the Mg content x of Mg x Zn 1-x O wire arrays was controlled via the PLD gas pressure. The microscopic homogeneity of Mg content is displayed by cathodoluminescence (CL) imaging of the excitonic peak energy. The fluctuation of CL peak energy between individual wires is about an order of magnitude smaller than the alloy broadening

  7. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    Science.gov (United States)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  8. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    International Nuclear Information System (INIS)

    Zhu Nai-Wei; Hu Ming; Xia Xiao-Xu; Wei Xiao-Ying; Liang Ji-Ran

    2014-01-01

    The VO 2 thin film with high performance of metal–insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO 2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO 2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO 2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively. (interdisciplinary physics and related areas of science and technology)

  9. Optogenetic activation of neocortical neurons in vivo with a sapphire-based micro-scale LED probe

    Directory of Open Access Journals (Sweden)

    Niall eMcAlinden

    2015-05-01

    Full Text Available Optogenetics has proven to be a revolutionary technology in neuroscience and has advanced continuously over the past decade. However, optical stimulation technologies for in vivo need to be developed to match the advances in genetics and biochemistry that have driven this field. In particular, conventional approaches for in vivo optical illumination have a limitation on the achievable spatio-temporal resolution. Here we utilize a sapphire-based microscale gallium nitride light-emitting diode (µLED probe to activate neocortical neurons in vivo. The probes were designed to contain independently controllable multiple µLEDs, emitting at 450 nm wavelength with an irradiance of up to 2 W/mm2. Monte-Carlo stimulations predicted that optical stimulation using a µLED can modulate neural activity within a localized region. To validate this prediction, we tested this probe in the mouse neocortex that expressed channelrhodopsin-2 (ChR2 and compared the results with optical stimulation through a fiber at the cortical surface. We confirmed that both approaches reliably induced action potentials in cortical neurons and that the µLED probe evoked strong responses in deep neurons. Due to the possibility to integrate many optical stimulation sites onto a single shank, the µLED probe is thus a promising approach to control neurons locally in vivo.

  10. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  11. The growth and characterization of well aligned RuO2 nanorods on sapphire substrates

    International Nuclear Information System (INIS)

    Chen, C C; Chen, R S; Tsai, T Y; Huang, Y S; Tsai, D S; Tiong, K K

    2004-01-01

    Self-assembled and well aligned RuO 2 nanorods (NRs) have been grown on sapphire (SA) substrates via metal-organic chemical vapour deposition (MOCVD), using bis(ethylcyclopentadienyl)ruthenium as the source reagent. The surface morphology, structural, and spectroscopic properties of the as-deposited NRs were characterized using field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), selected-area electron diffractometry (SAD), x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), and micro-Raman spectroscopy. FESEM micrographs reveal that vertically aligned nanorods (NRs) were grown on SA(100), while the NRs on the SA(012) were grown with a tilt angle of ∼ 35 degrees from the normal to the substrates. TEM and SAD measurements showed that the RuO 2 NRs with square cross-section have the long axis directed along the [001] direction. The XRD results indicate that the RuO 2 NRs are (002) oriented on SA(100) and (101) oriented on SA(012) substrates. A strong substrate effect on the alignment of the RuO 2 NRs growth has been demonstrated and the probable mechanism for the formation of these NRs has been discussed. XP spectra show the coexistence of higher oxidation state of ruthenium in the as-grown RuO 2 NRs. Micro-Raman spectra show the red-shift and peak broadening of the RuO 2 signatures with respect to that of the bulk counterpart which may be indicative of a phonon confinement effect for these NRs

  12. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  13. Evolution of Self-Assembled Au NPs by Controlling Annealing Temperature and Dwelling Time on Sapphire (0001).

    Science.gov (United States)

    Lee, Jihoon; Pandey, Puran; Sui, Mao; Li, Ming-Yu; Zhang, Quanzhen; Kunwar, Sundar

    2015-12-01

    Au nanoparticles (NPs) have been utilized in a wide range of device applications as well as catalysts for the fabrication of nanopores and nanowires, in which the performance of the associated devices and morphology of nanopores and nanowires are strongly dependent on the size, density, and configuration of the Au NPs. In this paper, the evolution of the self-assembled Au nanostructures and NPs on sapphire (0001) is systematically investigated with the variation of annealing temperature (AT) and dwelling time (DT). At the low-temperature range between 300 and 600 °C, three distinct regimes of the Au nanostructure configuration are observed, i.e., the vermiform-like Au piles, irregular Au nano-mounds, and Au islands. Subsequently, being provided with relatively high thermal energy between 700 and 900 °C, the round dome-shaped Au NPs are fabricated based on the Volmer-Weber growth model. With the increased AT, the size of the Au NPs is gradually increased due to a more favorable surface diffusion while the density is gradually decreased as a compensation. On the other hand, with the increased DT, the size and density of Au NPs decrease due to the evaporation of Au at relatively high annealing temperature at 950 °C.

  14. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Structural properties of Pt/TiO{sub 2}/Pt heterostructure grown on sapphire substrate—Influence of annealing processes

    Energy Technology Data Exchange (ETDEWEB)

    Roch, Tomas, E-mail: roch@fmph.uniba.sk; Durina, Pavol; Grancic, Branislav; Gregor, Maros; Plecenik, Tomas; Truchly, Martin; Mikula, Marian; Satrapinskyy, Leonid; Kus, Peter; Plecenik, Andrej

    2014-09-01

    Highlights: • Pt/TiO{sub 2}/Pt, Pt/TiO{sub 2} and TiO{sub 2}/Pt stacks were grown on (c-cut) Al{sub 2}O{sub 3} and annealed at 600 °C. • Pt/TiO{sub 2}/Pt contains both TiO{sub 2}-anatase (27%) and rutile (73%) phases after annealing. • Pt/TiO{sub 2} contains both anatase and rutile, TiO{sub 2}/Pt anatase phase only. • Epitaxial relationship of bottom platinum: Pt(1 1 1)[1–10]||sub(0 0 0 1)[11{sup ¯}00]. • Platinum top layer is uniaxially oriented: Pt(1 1 1)||sub(0 0 0 1). - Abstract: Simple gas sensors based on resistivity change of TiO{sub 2} thin films using combined top and bottom metallic contacts are very promising. In this work influence of ex situ annealing in ambient air on structure of TiO{sub 2} thin film stacked between two platinum contact layers has been studied. The layers were deposited using DC magnetron sputtering on unheated c-cut sapphire substrates. For lowering of the Schottky barrier at the Pt–TiO{sub 2} interfaces and for improved crystalline stability, ex situ annealing at 600 °C in air was carried out. In order to study separately influence of top and bottom platinum layers on crystal structure, also reference samples Pt/TiO{sub 2}/Al{sub 2}O{sub 3} and TiO{sub 2}/Pt/Al{sub 2}O{sub 3} have been prepared. Non-ambient X-ray diffraction measurement during annealing process and X-ray pole figures after annealing has been measured. Near epitaxial relationship was observed for bottom Pt layer grown on c-cut sapphire substrate: Pt(1 1 1)[11{sup ¯}0]||Al{sub 2}O{sub 3}(0 0 0 1)[11{sup ¯}00]. Inner titania layer shows randomly oriented both TiO{sub 2}-rutile (R) and anatase (A) phases with the volumetric ratio of R/A ∼ 2.7. If prepared without top Pt contact layer, the TiO{sub 2} transforms during annealing to random single anatase phase. The TiO{sub 2} layer overgrown with only single Pt top contact layer shows randomly oriented both rutile and anatase phases with volumetric ratio R/A ∼ 2.3. The top Pt layer on TiO{sub 2

  16. Morphological dependent Indium incorporation in InGaN/GaN multiple quantum wells structure grown on 4° misoriented sapphire substrate

    Directory of Open Access Journals (Sweden)

    Teng Jiang

    2016-03-01

    Full Text Available The epitaxial layers of InGaN/GaN MQWs structure were grown on both planar and vicinal sapphire substrates by metal organic chemical vapor deposition. By comparing the epitaxial layers grown on planar substrate, the sample grown on 4° misoriented from c-plane toward m-plane substrate exhibited many variations both on surface morphology and optical properties according to the scanning electronic microscopy and cathodoluminescence (CL spectroscopy results. Many huge steps were observed in the misoriented sample and a large amount of V-shape defects located around the boundary of the steps. Atoms force microscopy images show that the steps were inclined and deep grooves were formed at the boundary of the adjacent steps. Phase separation was observed in the CL spectra. CL mapping results also indicated that the deep grooves could effectively influence the localization of Indium atoms and form an In-rich region.

  17. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  18. Growth of GaN on sapphire via low-temperature deposited buffer layer and realization of p-type GaN by Mg doping followed by low-energy electron beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Amano, Hiroshi [Department of Electrical Engineering and Computer Science, Venture Business Laboratory, Akasaki Research Center, Nagoya University (Japan)

    2015-06-15

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid to late 1980s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed. (copyright 2015 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    Science.gov (United States)

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  1. Programming of the Wavelength Stabilization for a Titanium:Sapphire Laser using LabVIEW and Implementation into the CERN ISOLDE RILIS Measurement System

    CERN Document Server

    Rossel, Ralf Erik; Wendt, K; Rothe, S

    In the context of this work the foundation for the commissioning of a comprehensive environmental and operational data acquisition system was established. This development was performed for the Resonance Ionization Laser Ion Source (RILIS) at the ISOLDE radioactive ion beam facility within the European Organization for Nuclear Research CERN. As an essential step towards long-term automated operation, a remote control and wavelength stabilization system for the RILIS titanium:sapphire lasers was put into operation. This required the installation of a data recording infrastructure to work with a distributed sensor network. After operational data within the CERN technical computing network was collected and analyzed, the required wavelength adjustment was automatically performed by a stepper motor-driven correction system. The configuration of the hardware for acquisition and control and the integration of the dedicated system modules was performed using the graphical and data flow oriented programming language ...

  2. Time- and angle-resolved photoemission spectroscopy with optimized high-harmonic pulses using frequency-doubled Ti:Sapphire lasers

    International Nuclear Information System (INIS)

    Eich, S.; Stange, A.; Carr, A.V.; Urbancic, J.; Popmintchev, T.; Wiesenmayer, M.; Jansen, K.; Ruffing, A.; Jakobs, S.; Rohwer, T.; Hellmann, S.; Chen, C.; Matyba, P.; Kipp, L.; Rossnagel, K.; Bauer, M.; Murnane, M.M.; Kapteyn, H.C.; Mathias, S.; Aeschlimann, M.

    2014-01-01

    Highlights: • We present a scheme to generate high intensity XUV pulses from HHG with variable time-bandwidth product. • Shorter-wavelength driven high-harmonic XUV trARPES provides higher photon flux and increased energy resolution. • High-quality high-harmonic XUV trARPES data with sub 150 meV energy and sub 30 fs time resolution is presented. - Abstract: Time- and angle-resolved photoemission spectroscopy (trARPES) using femtosecond extreme ultraviolet high harmonics has recently emerged as a powerful tool for investigating ultrafast quasiparticle dynamics in correlated-electron materials. However, the full potential of this approach has not yet been achieved because, to date, high harmonics generated by 800 nm wavelength Ti:Sapphire lasers required a trade-off between photon flux, energy and time resolution. Photoemission spectroscopy requires a quasi-monochromatic output, but dispersive optical elements that select a single harmonic can significantly reduce the photon flux and time resolution. Here we show that 400 nm driven high harmonic extreme-ultraviolet trARPES is superior to using 800 nm laser drivers since it eliminates the need for any spectral selection, thereby increasing photon flux and energy resolution to <150 meV while preserving excellent time resolution of about 30 fs

  3. Time-resolved light emission of a, c, and r-cut sapphires shock-compressed to 65 GPa

    Science.gov (United States)

    Liu, Q. C.; Zhou, X. M.

    2018-04-01

    To investigate light emission and dynamic deformation behaviors, sapphire (single crystal Al2O3) samples with three crystallographic orientations (a, c, and r-cut) were shock-compressed by the planar impact method, with final stress ranges from 47 to 65 GPa. Emission radiance and velocity versus time profiles were simultaneously measured with a fast pyrometer and a Doppler pin system in each experiment. Wave profile results show anisotropic elastic-plastic transitions, which confirm the literature observations. Under final shock stress of about 52 GPa, lower emission intensity is observed in the r-cut sample, in agreement with the previous report in the literature. When final shock stress increases to 57 GPa and 65 GPa, spectral radiance histories of the r-cut show two stages of distinct features. In the first stage, the emission intensity of r-cut is lower than those of the other two, which agrees with the previous report in the literature. In the second stage, spectral radiance of r-cut increases with time at much higher rate and it finally peaks over those of the a and c-cut. These observations (conversion of intensified emission in the r-cut) may indicate activation of a second slip system and formation of shear bands which are discussed with the resolved shear stress calculations for the slip systems in each of the three cuts under shock compression.

  4. Weight of Production of Emeralds, Rubies, Sapphires, and Tanzanite from 1995 Through 2005

    Science.gov (United States)

    Yager, Thomas R.; Menzie, W. David; Olson, Donald W.

    2008-01-01

    U.S. Geological Survey (USGS) historically has not attempted to report comprehensive world production of gemstones on a country basis. This was because estimation of gemstone production is inherently difficult due to the fragmentary nature of the industry, the lack of governmental oversight or reporting in many countries where colored gemstones are mined, and the wide variation in quality between individual gemstones. Unlike diamonds, which, with the exception of the alluvial stones of West Africa, are mainly produced by large international mining companies and evaluated, cut, and marketed through a highly developed pricing structure and complex commercial arrangements, colored gemstones are mainly mined by individuals or small companies and have less developed evaluation and marketing arrangements. The trading centers for colored gems are smaller and less well known than the diamond centers. Colored gemstones, like alluvial diamonds, have the potential to be used to fund civil conflicts and other illegal activities, and because trade in colored gemstones is less organized than that of diamonds, they offer less opportunity for effective regulation of their trade. And, like diamond, until the recent advent of the Kimberley Process no generally accepted estimates of colored gemstone production globally or by producing country have existed. The present paper is a first attempt to develop production statistics for the three precious gems -emeralds, rubies, and sapphires - and tanzanite tanzanite, a semi-precious gem. The data consist of the weight of production of each of the gemstones from 1995 through 2005. Preliminary data on the weights of gemstone production were presented as a poster session at the Gemological Institute of America's Gemological Research Conference in San Diego, CA, in 2006, and as a published abstract (Yager, 2006) in an attempt to gather response to the estimates. The USGS continues to welcome information and suggestions that would improve the

  5. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    International Nuclear Information System (INIS)

    Soltani, A.; Stolz, A.; Gerbedoen, J.-C.; Rousseau, M.; Bourzgui, N.; De Jaeger, J.-C.; Charrier, J.; Mattalah, M.; Barkad, H. A.; Mortet, V.; BenMoussa, A.

    2014-01-01

    Optical waveguiding properties of a thick wurtzite aluminum nitride highly [002]-textured hetero-epitaxial film on (001) basal plane of sapphire substrate are studied. The physical properties of the film are determined by X-ray diffraction, atomic force microscopy, microRaman, and photocurrent spectroscopy. The refractive index and the thermo-optic coefficients are determined by m-lines spectroscopy using the classical prism coupling technique. The optical losses of this planar waveguide are also measured in the spectral range of 450–1553 nm. The lower value of optical losses is equal to 0.7 dB/cm at 1553 nm. The optical losses due to the surface scattering are simulated showing that the contribution is the most significant at near infrared wavelength range, whereas the optical losses are due to volume scattering and material absorption in the visible range. The good physical properties and the low optical losses obtained from this planar waveguide are encouraging to achieve a wide bandgap optical guiding platform from these aluminum nitride thin films

  6. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    Energy Technology Data Exchange (ETDEWEB)

    Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr; Stolz, A.; Gerbedoen, J.-C.; Rousseau, M.; Bourzgui, N.; De Jaeger, J.-C. [Institut d' Électronique, Microélectronique et Nanotechnologie, UMR-CNRS 8520, PRES Université Lille Nord de France, Cité Scientifique, Avenue Poincaré, CS 60069, 59652 Villeneuve d' Ascq Cedex (France); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON, UMR-CNRS 6082, ENSSAT 6, rue de Kerampont, CS 80518, 22305 Lannion Cedex (France); Mattalah, M. [Laboratoire de Microélectronique, Université Djilali Liabes, 22000 Sidi Bel Abbes (Algeria); Barkad, H. A. [Institut Universitaire Technologique Industriel, Université de Djibouti, Avenue Georges Clémenceau, BP 1904 Djibouti (Djibouti); Mortet, V. [Institute of Physics of Academy of Sciences of Czech Republic, Fyzikální ústav AV CR, v.v.i., Na Slovance 1999/2 (Czech Republic); BenMoussa, A. [Solar Terrestrial Center of Excellence, Royal Observatory of Belgium, Circular 3, B-1180 Brussels (Belgium)

    2014-04-28

    Optical waveguiding properties of a thick wurtzite aluminum nitride highly [002]-textured hetero-epitaxial film on (001) basal plane of sapphire substrate are studied. The physical properties of the film are determined by X-ray diffraction, atomic force microscopy, microRaman, and photocurrent spectroscopy. The refractive index and the thermo-optic coefficients are determined by m-lines spectroscopy using the classical prism coupling technique. The optical losses of this planar waveguide are also measured in the spectral range of 450–1553 nm. The lower value of optical losses is equal to 0.7 dB/cm at 1553 nm. The optical losses due to the surface scattering are simulated showing that the contribution is the most significant at near infrared wavelength range, whereas the optical losses are due to volume scattering and material absorption in the visible range. The good physical properties and the low optical losses obtained from this planar waveguide are encouraging to achieve a wide bandgap optical guiding platform from these aluminum nitride thin films.

  7. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  8. Polarized photoluminescence excitation spectroscopy of a-plane InGaN/GaN multiple quantum wells grown on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Kundys, D., E-mail: dmytro.kundys@manchester.ac.uk; Sutherland, D.; Badcock, T. J.; Dawson, P. [School of Physics and Astronomy, Photon Science Institute, University of Manchester, Manchester M13 9PL (United Kingdom); Schulz, S. [Photonics Theory group, Tyndall National Institute, Lee Maltings, Cork (Ireland); Oehler, F.; Kappers, M. J.; Oliver, R. A.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, CB3 0FS (United Kingdom)

    2014-03-21

    We have performed a detailed study of the impact of basal plane stacking faults (BSFs) on the optical properties of both a-plane InGaN/GaN quantum wells (QWs) and GaN template samples grown on r-sapphire. In particular, we have used polarised photoluminescence excitation spectroscopy (P-PLE) to investigate the nature of the low temperature recombination as well as extracting information on the valence band (VB) polarisation anisotropy. Our low temperature P-PLE results revealed not only excitons associated with intersubband quantum well transitions and the GaN barrier material but also a transition associated with creation of excitons in BSFs. The strength of this BSF transition varied with detection energy across the quantum well emission suggesting that there is a significant contribution to the emission line width from changes in the local electronic environment of the QWs due to interactions with BSFs. Furthermore, we observed a corresponding progressive increase in the VB splitting of the QWs as the detection energy was varied across the quantum well emission spectrum.

  9. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  10. Channel Temperature Model for Microwave AlGaN/GaN HEMTs on SiC and Sapphire MMICs in High Power, High Efficiency SSPAs

    Science.gov (United States)

    Freeman, Jon C.

    2004-01-01

    A key parameter in the design trade-offs made during AlGaN/GaN HEMTs development for microwave power amplifiers is the channel temperature. An accurate determination can, in general, only be found using detailed software; however, a quick estimate is always helpful, as it speeds up the design cycle. This paper gives a simple technique to estimate the channel temperature of a generic microwave AlGaN/GaN HEMT on SiC or Sapphire, while incorporating the temperature dependence of the thermal conductivity. The procedure is validated by comparing its predictions with the experimentally measured temperatures in microwave devices presented in three recently published articles. The model predicts the temperature to within 5 to 10 percent of the true average channel temperature. The calculation strategy is extended to determine device temperature in power combining MMICs for solid-state power amplifiers (SSPAs).

  11. Effect of low NH3 flux towards high quality semi-polar (11-22) GaN on m-plane sapphire via MOCVD

    Science.gov (United States)

    Omar, Al-Zuhairi; Shuhaimi Bin Abu Bakar, Ahmad; Makinudin, Abdullah Haaziq Ahmad; Khudus, Muhammad Imran Mustafa Abdul; Azman, Adreen; Kamarundzaman, Anas; Supangat, Azzuliani

    2018-05-01

    The effect of ammonia flux towards the quality of the semi-polar (11-22) gallium nitride thin film on m-plane (10-10) sapphire is presented. Semi-polar (11-22) gallium nitride epi-layers were obtained using a two-step growth method, consisting of high temperature aluminum nitride followed by gallium nitride via metal organic chemical vapor deposition. The surface morphology analysis via field emission scanning electron microscopy and atomic force microscopy of the semi-polar (11-22) gallium nitride has shown that low ammonia flux promotes two-dimensional growth with low surface roughness of 4.08 nm. A dominant diffraction peak of (11-22) gallium nitride was also observed via X-ray diffraction upon utilizing low ammonia flux. The on- and off-axis X-ray rocking curve measurements illustrate the enhancement of the crystal quality, which might result from the reduction of the basal stacking faults and perfect dislocation. The full width half maximum values were reduced by at least 15% for both on- and off-axis measurements.

  12. Ultraviolet laser crystallized ZnO:Al films on sapphire with high Hall mobility for simultaneous enhancement of conductivity and transparency

    International Nuclear Information System (INIS)

    Nian, Qiong; Zhang, Martin Y.; Schwartz, Bradley D.; Cheng, Gary J.

    2014-01-01

    One of the most challenging issues in transparent conductive oxides (TCOs) is to improve their conductivity without compromising transparency. High conductivity in TCO films often comes from a high carrier concentration, which is detrimental to transparency due to free carrier absorption. Here we show that UV laser crystallization (UVLC) of aluminum-doped ZnO (AZO) films prepared by pulsed laser deposition on sapphire results in much higher Hall mobility, allowing relaxation of the constraints of the conductivity/transparency trade-off. X-ray diffraction patterns and morphological characterizations show grain growth and crystallinity enhancement during UVLC, resulting in less film internal imperfections. Optoelectronic measurements show that UVLC dramatically improves the electron mobility, while the carrier concentration decreases which in turn simultaneously increases conductivity and transparency. AZO films under optimized UVLC achieve the highest electron mobility of 79 cm 2 /V s at a low carrier concentration of 7.9 × 10 +19  cm −3 . This is realized by a laser crystallization induced decrease of both grain boundary density and electron trap density at grain boundaries. The infrared (IR) to mid-IR range transmittance spectrum shows UVLC significantly enhances the AZO film transparency without compromising conductivity.

  13. Improving solar radiation absorbance of high refractory sintered ceramics by fs Ti:sapphire laser surface treatment

    International Nuclear Information System (INIS)

    Cappelli, E.; Orlando, S.; Sciti, D.; Bellucci, A.; Lettino, A.; Trucchi, D.M.

    2014-01-01

    Samples of high refractory pressure-less sintered carbide ceramics (HfC based), polished by mechanical grinding to a surface roughness R a ∼ 40 nm, have been surface treated, in vacuum, by fs Ti:sapphire laser, operating at 800 nm wavelength, 1000 Hz repetition rate and 100 fs pulse duration, at fluence varying in the range (∼6–25 J/cm 2 ), to optimize their solar radiation absorbance, in such a way that they could operate as absorber material in an innovative conversion module of solar radiation into electrical energy. To this aim, an area of approximately 9.6 cm 2 was treated by the fs laser beam. The beam strikes perpendicular to the sample, placed on a stage set in motion in the x, y, z-directions, thus generating a scanning pattern of parallel lines. The experimental conditions of laser treatment (energy fluence, speed of transition, overlapping and lateral step distance) were varied in order to optimize the radiation absorption properties of the patterned surface. In laser treated samples the absorption value is increased by about 15%, compared to the original untreated surface, up to a value of final absorbance of about 95%, all over the range of solar radiation spectrum (from UV to IR). The morphological and chemical effects of the treatment have been evaluated by SEM–EDS analysis. At very high fluence, we obtained the characteristic ablation craters and local material decomposition, while at lower fluence (in any case above the threshold) typical periodic nano-structures have been obtained, exploitable for their modified optical properties.

  14. Investigation of diamond wheel topography in Elliptical Ultrasonic Assisted Grinding (EUAG) of monocrystal sapphire using fractal analysis method.

    Science.gov (United States)

    Wang, Qiuyan; Zhao, Wenxiang; Liang, Zhiqiang; Wang, Xibin; Zhou, Tianfeng; Wu, Yongbo; Jiao, Li

    2018-03-01

    The wear behaviors of grinding wheel have significant influence on the work-surface topography. However, a comprehensive and quantitative method is lacking for evaluating the wear conditions of grinding wheel. In this paper, a fractal analysis method is used to investigate the wear behavior of resin-bonded diamond wheel in Elliptical Ultrasonic Assisted Grinding (EUAG) of monocrystal sapphire, and a series of experiments on EUAG and conventional grinding (CG) are performed. The results show that the fractal dimension of grinding wheel topography is highly correlated to the wear behavior, i.e., grain fracture, grain pullout, and wheel loading. An increase in cutting edge density on the wheel surface results in an increase of the fractal dimension, but an increase in the grain pullout and wheel loading results in a decrease in the fractal dimension. The wheel topography in EUAG has a higher fractal dimension than that in CG before 60 passes due to better self-sharpening behavior, and then has a smaller fractal dimension because of more serious wheel loadings after 60 passes. By angle-dependent distribution analysis of profile fractal dimensions, the wheel surface topography is transformed from isotropic to anisotropic. These indicated that the fractal analysis method could be further used in monitoring of a grinding wheel performance in EUAG. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Network of vertically c-oriented prismatic InN nanowalls grown on c-GaN/sapphire template by chemical vapor deposition technique

    Science.gov (United States)

    Barick, B. K.; Saroj, Rajendra Kumar; Prasad, Nivedita; Sutar, D. S.; Dhar, S.

    2018-05-01

    Networks of vertically c-oriented prism shaped InN nanowalls, are grown on c-GaN/sapphire templates using a CVD technique, where pure indium and ammonia are used as metal and nitrogen precursors. A systematic study of the growth, structural and electronic properties of these samples shows a preferential growth of the islands along [ 1 1 2 bar 0 ] and [0 0 0 1] directions leading to the formation of such a network structure, where the vertically [0 0 0 1] oriented tapered walls are laterally align along one of the three [ 1 1 2 bar 0 ] directions. Inclined facets of these walls are identified as semipolar (1 1 2 bar 2) -planes of wurtzite InN. Onset of absorption for these samples is observed to be higher than the band gap of InN suggesting a high background carrier concentration in this material. Study of the valence band edge through XPS indicates the formation of positive depletion regions below the surface of the side facets [(1 1 2 bar 2) -planes] of the walls. This is in contrast with the observation for c-plane InN epilayers, where electron accumulation is often reported below the top surface.

  16. Ab-initio study on the absorption spectrum of color change sapphire based on first-principles calculations with considering lattice relaxation-effect

    Science.gov (United States)

    Novita, Mega; Nagoshi, Hikari; Sudo, Akiho; Ogasawara, Kazuyoshi

    2018-01-01

    In this study, we performed an investigation on α-Al2O3: V3+ material, or the so-called color change sapphire, based on first-principles calculations without referring to any experimental parameter. The molecular orbital (MO) structure was estimated by the one-electron MO calculations using the discrete variational-Xα (DV-Xα) method. Next, the absorption spectra were estimated by the many-electron calculations using the discrete variational multi-electron (DVME) method. The effect of lattice relaxation on the crystal structures was estimated based on the first-principles band structure calculations. We performed geometry optimizations on the pure α-Al2O3 and with the impurity V3+ ion using Cambridge Serial Total Energy Package (CASTEP) code. The effect of energy corrections such as configuration dependence correction and correlation correction was also investigated in detail. The results revealed that the structural change on the α-Al2O3: V3+ resulted from the geometry optimization improved the calculated absorption spectra. By a combination of both the lattice relaxation-effect and the energy correction-effect improve the agreement to the experiment fact.

  17. The surface chemistry of sapphire-c: A literature review and a study on various factors influencing its IEP.

    Science.gov (United States)

    Lützenkirchen, J; Franks, G V; Plaschke, M; Zimmermann, R; Heberling, F; Abdelmonem, A; Darbha, G K; Schild, D; Filby, A; Eng, P; Catalano, J G; Rosenqvist, J; Preocanin, T; Aytug, T; Zhang, D; Gan, Y; Braunschweig, B

    2018-01-01

    A wide range of isoelectric points (IEPs) has been reported in the literature for sapphire-c (α-alumina), also referred to as basal plane, (001) or (0001), single crystals. Interestingly, the available data suggest that the variation of IEPs is comparable to the range of IEPs encountered for particles, although single crystals should be much better defined in terms of surface structure. One explanation for the range of IEPs might be the obvious danger of contaminating the small surface areas of single crystal samples while exposing them to comparatively large solution reservoirs. Literature suggests that factors like origin of the sample, sample treatment or the method of investigation all have an influence on the surfaces and it is difficult to clearly separate the respective, individual effects. In the present study, we investigate cause-effect relationships to better understand the individual effects. The reference IEP of our samples is between 4 and 4.5. High temperature treatment tends to decrease the IEP of sapphire-c as does UV treatment. Increasing the initial miscut (i.e. the divergence from the expected orientation of the crystal) tends to increase the IEP as does plasma cleaning, which can be understood assuming that the surfaces have become less hydrophobic due to the presence of more and/or larger steps with increasing miscut or due to amorphisation of the surface caused by plasma cleaning. Pre-treatment at very high pH caused an increase in the IEP. Surface treatments that led to IEPs different from the stable value of reference samples typically resulted in surfaces that were strongly affected by subsequent exposure to water. The streaming potential data appear to relax to the reference sample behavior after a period of time of water exposure. Combination of the zeta-potential measurements with AFM investigations support the idea that atomically smooth surfaces exhibit lower IEPs, while rougher surfaces (roughness on the order of nanometers) result

  18. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Semipolar MOVPE AlGaN on (10 anti 10) m-plane sapphire; MOVPE von semipolarem AlGaN auf (10 anti 10) m-plane Saphir

    Energy Technology Data Exchange (ETDEWEB)

    Mehnke, Frank; Stellmach, Joachim; Frentrup, Martin; Kusch, Gunnar; Wernicke, Tim; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    The energy gap of AlGaN varies between 3.4 eV and 6.2 eV and allows light emitting diodes (LED) in the ultraviolet spectral region. The authors studied semipolar (11 anti 22) AlGaN layers that were deposited on (10 anti 10) m-plane sapphire using MOVPE (metalorganic vapor phase epitaxy) without nucleation layer with a substrate temperature below 1100 C in H2 atmosphere. The layers are preferably (11 anti 22) oriented. The sample show a surface roughness between 15 and 2 nm. The Al content of the smoothest samples is about 60% determined by transmission experiments. Below 60% Al content a triangular morphology was observed, the opening angle increased with decreasing Al content. The absorption edge was 0.05 eV (GaN) to 0.35 eV (AlN) below the band edge of (0001) oriented AlGaN layers. Further investigations of semipolar AlGaN layers to study the applicability for UV LEDs are under preparation.

  20. Design and implementation of a radiation hardened silicon on sapphire (SOS) embedded signal conditioning unit controller (SCUC) for the RAPID instrument on the Cluster satellites

    International Nuclear Information System (INIS)

    Ersland, L.

    1992-07-01

    The Cluster mission consistens of four spacecrafts equipped with instruments capable of making comprehensive measurements of plasma particles and electromagnetic fields. The RAPID (Research with Adaptive Particle Imaging Detectors) spectrometer is one of many instruments on board the Cluster satellites. It is designed for fast analysis of energetic electrons and ions with a complete coverage of the unit sphere in phase space. This thesis describes the development and testing of an embedded controller for the Spectroscopic Camera for Electrons, Neutral and Ion Compositions (SCENIC), which is a part of the RAPID instrument. The design is implemented in two different CMOS circuit technologies, namely Actel's Field Programmable Gate Arrays and GEC Plessey's CMOS Silicon On Sapphire (SOS) gate array. The prototypes of the SOS gate array have been verified and characterized. This includes measurements of DC and AC parameters under different conditions, including total dose of gamma irradiation. 42 refs., 92 figs., 44 tabs

  1. Use of patients' mobile phones to store and share personal health information: results of a questionnaire survey.

    Science.gov (United States)

    Tawara, Satoru; Yonemochi, Yasuhiro; Kosaka, Takayuki; Kouzaki, Yanosuke; Takita, Tomohiro; Tsuruta, Toshihisa

    2013-01-01

    To explore the opinions of outpatients concerning a new communication method: the self-management of assessed personal problems in health information records (SAPPHIRE) using patients' mobile phones to store and share medical content (medical SAPPHIRE, or m-SAPPHIRE). A cross-sectional questionnaire survey. Patients Outpatients who visited us from March 1 to May 30, 2012, were asked to complete a questionnaire survey regarding SAPPHIRE and m-SAPPHIRE. The m-SAPPHIRE data consisted of a problem list, height, weight, waist size and active medication list. Ten questions were asked regarding the usefulness of m-SAPPHIRE, the sharing of m-SAPPHIRE and the use of mobile phones to store m-SAPPHIRE data. One hundred and ninety-three patients (male/female, 79/114; mean age, 57±21 years) were registered: 95.9% answered that m-SAPPHIRE would be useful, 98% agreed to manage their personal health records by themselves, and 95.8%, 93.8%, and 92.8% of the patients responded that they would allow m-SAPPHIRE information to be shared with family members, medical workers, and health care providers, respectively. Of the patients, 75.1% responded that they owned a mobile phone, and 43.5% answered that they could enter m-SAPPHIRE information into a mobile phone by themselves, while 27.5% responded that they could do so with someone's help. Patients believe that m-SAPPHIRE would be useful for retrieving their health records during emergency situations or for sharing with family members and medical and health care providers. SAPPHIRE using mobile phones could be an inexpensive and legal method for sharing medical data.

  2. Effect of Annealing Temperature on Morphological and Optical Transition of Silver Nanoparticles on c-Plane Sapphire.

    Science.gov (United States)

    Pandey, Puran; Kunwar, Sundar; Sui, Mao; Li, Ming-Yu; Zhang, Quanzhen; Lee, Jihoon

    2018-05-01

    As a promising candidate for the improved performance, silver nanoparticles (Ag NPs) have been successfully adapted in various applications such as photovoltaics, light emitting diodes (LEDs), sensors and catalysis by taking the advantage of their controllable plasmonic properties. In this paper, the control on the morphologies and optical properties of Ag NPs on c-plane sapphire (0001) is demonstrated by the systematic control of annealing temperature (between 200 and 950 °C) with 20 and 6 nm thick Ag films through the solid state dewetting. With the relatively thicker film of 20 nm, various configuration and size of Ag NPs are fabricated such as irregular, round dome-shaped and tiny Ag NPs depending on the annealing temperature. In a shrill contrast, the 6 nm Ag set exhibits a sharp distinction with the formation of densely packed small NPs and ultra-highly dense tiny Ag NPs due to the higher dewetting rate. While, the surface diffusion assumes the main driving force in the evolution process of Ag NP morphologies up to 550 °C, the sublimation of Ag atoms has played a significant role on top on the surface diffusion between 600 and 950 °C. The reflectance spectra of Ag NPs exhibit the quadrupolar resonance and dipolar resonance peaks, and the evolution of peaks, shift and average reflectance were discussed based on the Ag NPs size and surface coverage. In particular, the dipolar resonance peak in the reflectance spectra red shifts from ~475 to ~570 nm due to the size increment of Ag NPs (38.31 to 74.68 nm). The wide surface coverage of Ag NPs exhibits the highest average reflectance (~27%) and the lowest Raman intensity.

  3. Front Surface Tandem Filters using Sapphire (Al2O3) Substrates for Spectral Control in thermophotovoltaic Energy Conversion Systems

    International Nuclear Information System (INIS)

    T Rahmlow, Jr.; J Lazo-Wasem; E Gratrix; P Fourspring; D DePoy

    2005-01-01

    Front surface filters provide an effective means of improving thermophotovoltaic (TPV) system efficiency through spectral control of incident radiant energy. A front surface filter reflects the below band gap photons that can not be converted by the TPV cell back towards the high temperature radiator and allows convertible above band gap photons to pass through the filter into the TPV cell for conversion to electricity. The best spectral control efficiency to date has been demonstrated by front surface, tandem filters that combine an interference filter and an InPAs layer (plasma filter) in series. The InPAs material is a highly doped, epitaxially grown layer on an InP substrate. These tandem filter designs have been fabricated with energy and angle weighted spectral efficiencies of 76% for TPV cells with a 2.08(micro)m (0.6eV) band gap [1]. An alternative to the InPAs layer on an InP substrate is an Al 2 O 3 (sapphire) substrate. The use of Al 2 O 3 may increase transmission of above band gap photons, increase the mechanical strength of the tandem filter, and lower the cost of the tandem filter, all at the expense of lower spectral efficiency. This study presents design and fabrication results for front surface tandem filters that use an Al 2 O 3 substrate for 2.08(micro)m band gap TPV cells

  4. Development of Auto-Seeding System Using Image Processing Technology in the Sapphire Crystal Growth Process via the Kyropoulos Method

    Directory of Open Access Journals (Sweden)

    Churl Min Kim

    2017-04-01

    Full Text Available The Kyropoulos (Ky and Czochralski (Cz methods of crystal growth are used for large-diameter single crystals. The seeding process in these methods must induce initial crystallization by initiating contact between the seed crystals and the surface of the melted material. In the Ky and Cz methods, the seeding process lays the foundation for ingot growth during the entire growth process. When any defect occurs in this process, it is likely to spread to the entire ingot. In this paper, a vision system was constructed for auto seeding and for observing the surface of the melt in the Ky method. An algorithm was developed to detect the time when the internal convection of the melt is stabilized by observing the shape of the spoke pattern on the melt material surface. Then, the vision system and algorithm were applied to the growth furnace, and the possibility of process automation was examined for sapphire growth. To confirm that the convection of the melt was stabilized, the position of the island (i.e., the center of a spoke pattern was detected using the vision system and image processing. When the observed coordinates for the center of the island were compared with the coordinates detected from the image processing algorithm, there was an average error of 1.87 mm (based on an image with 1024 × 768 pixels.

  5. Antiphase inversion domains in lithium cobaltite thin films deposited on single-crystal sapphire substrates

    International Nuclear Information System (INIS)

    Zheng, S.J.; Fisher, C.A.J.; Hitosugi, T.; Kumatani, A.; Shiraki, S.; Ikuhara, Y.H.; Kuwabara, A.; Moriwake, H.; Oki, H.; Ikuhara, Y.

    2013-01-01

    Antiphase inversion domains in LiCoO 2 thin films prepared by pulsed laser deposition on sapphire single-crystal substrates are analyzed using a combination of (scanning) transmission electron microscopy and first-principles calculations. Domains form epitaxially on the substrates with orientation relationships of [112 ¯ 0] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 and [1 ¯ 1 ¯ 20] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 . In addition, substrate/film interfaces with the above orientation relationships always have the same stacking sequence of Al–O–Co–O–Li–O. This is confirmed to be the most energetically stable stacking arrangement according to first-principles calculations. Individual domains form as a result of steps one (0 0 0 1) O–Al–O spacing in height on the otherwise flat substrate surface. Because the orientation of adjacent (0 0 0 1) AlO 6 octahedra in Al 2 O 3 are rotated by 180°, while LiO 6 and CoO 6 octahedra in LiCoO 2 are all aligned in the same direction, substrate steps produce LiCoO 2 domains rotated 180° relative to their neighbors. The similar size of oxygen octahedra in the two materials also means that the step height is close to the layer spacing in LiCoO 2 , so that (0 0 0 1) Li and Co layers of adjacent domains are shifted by one layer relative to each other at each domain boundary, aligning Li layers with Co layers across the boundary. The combination of these two effects generates antiphase inversion domains. The domain boundaries effectively sever Li-ion diffusion pathways in the (0 0 0 1) planes between domains and thus are expected to have a detrimental effect on Li-ion conductivity

  6. InN-based layers grown by modified HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.; Usikov, A.; Soukhoveev, V.; Kovalenkov, O.; Ivantsov, V.; Dmitriev, V.; Collins, C.; Readinger, E.; Shmidt, N.; Davydov, V.; Nikishin, S.; Kuryatkov, V.; Song, D.; Rosenbladt, D.; Holtz, Mark

    2006-01-01

    This paper contains results on InN and InGaN growth by Hydride Vapor Phase Epitaxy (HVPE) on various substrates including sapphire and GaN/sapphire, AlGaN/sapphire, and AlN/sapphire templates. The growth processes are carried out at atmospheric pressure in a hot wall reactor in the temperature range from 500 to 750 and ordm;C. Continuous InN layers are grown on GaN/sapphire template substrates. Textured InN layers are deposited on AlN/sapphire and AlGaN/sapphire templates. Arrays of nano-crystalline InN rods with various shapes are grown directly on sapphire substrates. X-ray diffraction rocking curves for the (002)InN reflection have the full width at half maximum (FWHM) as narrow as 270 arcsec for the nano-rods and 460 arcsec for the continuous layers. In x Ga 1-x N layers with InN content up to 10 mol.% are grown on GaN/sapphire templates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Effect of power history on the shape and the thermal stress of a large sapphire crystal during the Kyropoulos process

    Science.gov (United States)

    Nguyen, Tran Phu; Chuang, Hsiao-Tsun; Chen, Jyh-Chen; Hu, Chieh

    2018-02-01

    In this study, the effect of the power history on the shape of a sapphire crystal and the thermal stress during the Kyropoulos process are numerically investigated. The simulation results show that the thermal stress is strongly dependent on the power history. The thermal stress distributions in the crystal for all growth stages produced with different power histories are also studied. The results show that high von Mises stress regions are found close to the seed of the crystal, the highly curved crystal surface and the crystal-melt interface. The maximum thermal stress, which occurs at the crystal-melt interface, increases significantly in value as the crystal expands at the crown. After this, there is reduction in the maximum thermal stress as the crystal lengthens. There is a remarkable enhancement in the maximum von Mises stress when the crystal-melt interface is close to the bottom of the crucible. There are two obvious peaks in the maximum Von Mises stress, at the end of the crown stage and in the final stage, when cracking defects can form. To alleviate this problem, different power histories are considered in order to optimize the process to produce the lowest thermal stress in the crystal. The optimal power history is found to produce a significant reduction in the thermal stress in the crown stage.

  8. High-sensitivity β-Ga_2O_3 solar-blind photodetector on high-temperature pretreated c-plane sapphire substrate

    KAUST Repository

    Qian, Ling-Xuan

    2017-09-20

    Recently, monoclinic Ga2O3 (beta-Ga2O3) photodetectors (PDs) have been extensively studied for various commercial and military applications due to the merits of intrinsic solar rejection, high gain, and great compactness. In this work, c-plane sapphire substrates were annealed under different temperatures in a vacuum furnace prior to the molecular beam epitaxy (MBE) of beta-Ga2O3 thin film, which yielded a smoother surface and even a terraceand- step-like morphology on the substrate, resulting in improved crystallinity of the epitaxial film. Accordingly, both the dark and photo currents of beta-Ga2O3 metal-semiconductor-metal (MSM) PDs were increased by the enhanced carrier mobility (mu) of the more crystalline film. However, the substrate-annealing temperature must be sufficiently high to offset the rise of the dark current and thus achieve a remarkable improvement in the photodetection properties. As a result, the PD fabricated on the 1050 degrees C-annealed substrate exhibited extremely high sensitivity, for example, high responsivity (R) of 54.9 A/ W and large specific detectivity (D*) of 3.71 x 10(14) Jones. Both parameters were increased by one order of magnitude because of the combined effects of the dramatic increase in mu and the effective reduction in defect-related recombination centers. Nevertheless, the latter also prolonged the recovery time of the PD. These findings suggest another way to develop beta-Ga2O3 PD with extremely high sensitivity. (C) 2017 Optical Society of America

  9. Multilayered structures of (RE = rare earth)Ba2Cu3Ox films: an approach for the growth of superior quality large-area superconducting films on sapphire substrates

    International Nuclear Information System (INIS)

    Develos-Bagarinao, K; Yamasaki, H; Ohki, K; Nakagawa, Y

    2007-01-01

    Relatively thick REBa 2 Cu 3 O 7-δ (RE = rare earth) films (thickness ∼400-600 nm) with significantly improved surface morphology and critical current properties using a multilayered structure which alternates main layers of YBa 2 Cu 3 O 7-δ (YBCO) with intermediate DyBa 2 Cu 3 O 7-δ (DyBCO) layers on CeO 2 -buffered sapphire substrates were investigated. The DyBCO layer, which has a close lattice matching with YBCO, functions as a good starting template for the growth of high-quality YBCO layers. Critical current density (J c ) drastically increased up to a factor of 2 for YBCO/DyBCO multilayer films, compared to YBCO monolayer films in both the self-field and applied magnetic field. The significant improvement in J c is attributed to the improvement of surface smoothness and enhanced flux pinning properties as revealed by the magnetic-field angular dependence of J c . (rapid communication)

  10. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    International Nuclear Information System (INIS)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul; Chakraborty, Apurba; Biswas, Dhrubes; Mukhopadhyay, Partha

    2014-01-01

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al 2 O 3 ) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10 −3 (1 × 10 −3 ), and −1.7 × 10 −3 (2 × 10 −3 ) in GaN layer and 5.1 × 10 −3 (−3.3 × 10 −3 ), and 8.8 × 10 −3 (−1.3 × 10 −3 ) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum

  11. Growth modes and epitaxy of FeAl thin films on a-cut sapphire prepared by pulsed laser and ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Xiang; Trautvetter, Moritz; Ziemann, Paul [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Wiedwald, Ulf [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Fakultät für Physik, Universität Duisburg-Essen, Lotharstraße 1, 47057 Duisburg (Germany)

    2014-01-14

    FeAl films around equiatomic composition are grown on a-cut (112{sup ¯}0) sapphire substrates by ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD) at ambient temperature. Subsequent successive annealing is used to establish chemical order and crystallographic orientation of the films with respect to the substrate. We find a strongly [110]-textured growth for both deposition techniques. Pole figures prove the successful preparation of high quality epitaxial films by PLD with a single in-plane orientation. IBAD-grown films, however, exhibit three in-plane orientations, all of them with broad angular distributions. The difference of the two growth modes is attributed to the existence of a metastable intermediate crystalline orientation as concluded from nonassisted sputter depositions at different substrate temperatures. The formation of the chemically ordered crystalline B2 phase is accompanied by the expected transition from ferromagnetic to paramagnetic behavior of the films. In accordance with the different thermally induced structural recovery, we find a step-like magnetic transition to paramagnetic behavior after annealing for 1 h at T{sub A} = 300 °C for IBAD deposition, while PLD-grown films show a gradual decrease of ferromagnetic signals with rising annealing temperatures.

  12. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  13. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  14. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  15. Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide

    International Nuclear Information System (INIS)

    Lin, Yen-Ting; Chung, Ping-Han; Lai, Hung-Wei; Su, Hsin-Lun; Lyu, Dong-Yuan; Yen, Kuo-Yi; Lin, Tai-Yuan; Kung, Chung-Yuan; Gong, Jyh-Rong

    2009-01-01

    Atomic layer deposition (ALD) of zinc oxide (ZnO) films on (0 0 0 1) sapphire substrates was conducted at low temperatures by using diethyl-zinc (DEZn) and nitrous oxide (N 2 O) as precursors. It was found that a monolayer-by-monolayer growth regime occurred at 300 deg. C in a range of DEZn flow rates from 5.7 to 8.7 μmol/min. Furthermore, the temperature self-limiting process window for the ALD-grown ZnO films was also observed ranging from 290 to 310 deg. C. A deposition mechanism is proposed to explain how saturated growth of ZnO is achieved by using DEZn and N 2 O. Transmission spectroscopic studies of the ZnO films prepared in the self-limiting regime show that the transmittances of ZnO films are as high as 80% in visible and near infrared spectra. Experimental results indicate that ZnO films with high optical quality can be achieved by ALD at low temperatures using DEZn and N 2 O precursors.

  16. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  17. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    Energy Technology Data Exchange (ETDEWEB)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul [Advanced Technology Development Center, Indian Institute of Technology, Kharagpur, 721302 (India); Chakraborty, Apurba; Biswas, Dhrubes [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur, 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur, 721302 (India)

    2014-11-15

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al{sub 2}O{sub 3}) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10{sup −3}(1 × 10{sup −3}), and −1.7 × 10{sup −3}(2 × 10{sup −3}) in GaN layer and 5.1 × 10{sup −3} (−3.3 × 10{sup −3}), and 8.8 × 10{sup −3}(−1.3 × 10{sup −3}) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum.

  18. Effects of annealing temperature and duration on the morphological and optical evolution of self-assembled Pt nanostructures on c-plane sapphire.

    Science.gov (United States)

    Sui, Mao; Li, Ming-Yu; Kunwar, Sundar; Pandey, Puran; Zhang, Quanzhen; Lee, Jihoon

    2017-01-01

    Metallic nanostructures (NSs) have been widely adapted in various applications and their physical, chemical, optical and catalytic properties are strongly dependent on their surface morphologies. In this work, the morphological and optical evolution of self-assembled Pt nanostructures on c-plane sapphire (0001) is demonstrated by the control of annealing temperature and dwelling duration with the distinct thickness of Pt films. The formation of Pt NSs is led by the surface diffusion, agglomeration and surface and interface energy minimization of Pt thin films, which relies on the growth parameters such as system temperature, film thickness and annealing duration. The Pt layer of 10 nm shows the formation of overlaying NPs below 650°C and isolated Pt nanoparticles above 700°C based on the enhanced surface diffusion and Volmer-Weber growth model whereas larger wiggly nanostructures are formed with 20 nm thick Pt layers based on the coalescence growth model. The morphologies of Pt nanostructures demonstrate a sharp distinction depending on the growth parameters applied. By the control of dwelling duration, the gradual transition from dense Pt nanoparticles to networks-like and large clusters is observed as correlated to the Rayleigh instability and Ostwald ripening. The various Pt NSs show a significant distinction in the reflectance spectra depending on the morphology evolution: i.e. the enhancement in UV-visible and NIR regions and the related optical properties are discussed in conjunction with the Pt NSs morphology and the surface coverage.

  19. Size characterisation of noble-metal nano-crystals formed in sapphire by ion irradiation and subsequent thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mota-Santiago, Pablo-Ernesto [Instituto de Fisica, Universidad Nacional Autonoma de Mexico A.P. 20-364 01000 Mexico D.F. (Mexico); Crespo-Sosa, Alejandro, E-mail: crespo@fisica.unam.mx [Instituto de Fisica, Universidad Nacional Autonoma de Mexico A.P. 20-364 01000 Mexico D.F. (Mexico); Jimenez-Hernandez, Jose-Luis; Silva-Pereyra, Hector-Gabriel; Reyes-Esqueda, Jorge-Alejandro; Oliver, Alicia [Instituto de Fisica, Universidad Nacional Autonoma de Mexico A.P. 20-364 01000 Mexico D.F. (Mexico)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer Systematic study on the formation of Ag and Au nano-particles in Al{sub 2}O{sub 3}. Black-Right-Pointing-Pointer Annealing in a reducing atmosphere, below the metal melting point is more suitable. Black-Right-Pointing-Pointer Au nano-particles grow up to 15 nm and Ag nano-particles up to 45 nm in radius. Black-Right-Pointing-Pointer Ostwald ripening is the mechanism responsible for the formation of large nanoparticles. Black-Right-Pointing-Pointer Optical properties of metallic nano-particles in Al{sub 2}O{sub 3} can be related to their size. - Abstract: Metallic nano-particles embedded in transparent dielectrics are very important for new technological applications because of their unique optical properties. These properties depend strongly on the size and shape of the nano-particles. In order to achieve the synthesis of metallic nano-particles it has been used the technique of ion implantation. This is a very common technique because it allows the control of the depth and concentration of the metallic ions inside the sample, limited mostly by straggling, without introducing other contaminant agents. The purpose of this work was to measure the size of the nano-particles grown under different conditions in Sapphire and its size evolution during the growth process. To achieve this goal, {alpha}-Al{sub 2}O{sub 3} single crystals were implanted with Ag or Au ions at room temperature with different fluences (from 2 Multiplication-Sign 10{sup 16} ions/cm{sup 2} to 8 Multiplication-Sign 10{sup 16} ions/cm{sup 2}). Afterwards, the samples were annealed at different temperatures (from 600 Degree-Sign C to 1100 Degree-Sign C) in oxidising, reducing, Ar or N{sub 2} atmospheres. We measured the ion depth profile by Rutherford Backscattering Spectroscopy (RBS) and the nano-crystals size distribution by using two methods, the surface plasmon resonance in the optical extinction spectrum and the Transmission Electron Microscopy (TEM).

  20. Sibling-based association study of the PPARgamma2 Pro12Ala polymorphism and metabolic variables in Chinese and Japanese hypertension families: a SAPPHIRe study. Stanford Asian-Pacific Program in Hypertension and Insulin Resistance.

    Science.gov (United States)

    Chuang, L M; Hsiung, C A; Chen, Y D; Ho, L T; Sheu, W H; Pei, D; Nakatsuka, C H; Cox, D; Pratt, R E; Lei, H H; Tai, T Y

    2001-11-01

    The peroxisome proliferator activated receptor (PPAR) gamma2 is a transcription factor that has been shown to be involved in adipocyte differentiation, adipogenesis, and insulin sensitivity. To address the role of PPARgamma2 in glucose homeostasis and insulin sensitivity, among many other objectives, we conducted a sibling-controlled association study in a multicenter program - the Stanford Asian-Pacific Program in Hypertension and Insulin Resistance (SAPPHIRe). Approximately 2525 subjects in 734 Chinese and Japanese families have been recruited from six field centers for SAPPHIRe. In total, 1702 subjects including parents and siblings from 449 families have been genotyped for PPARgamma2, of which 328 families were Chinese and 121 Japanese. Only 88 subjects of the 1525 siblings screened for the P12A polymorphism were found to be carriers of the A variant, the most common variant of the PPARgamma2 gene. A variant frequencies of the siblings were 4.27% in Chinese and 2.72% in Japanese. A sibling-controlled association study was performed through genetically discordant sibships (i.e., P/P genotype vs. P/A + A/A genotypes). Specifically, we examined whether there were differences in metabolic variables between the discordant siblings within families. In total, 88 subjects carrying either 1 or 2 A alleles had at least one sibling who was discordant for the P12A polymorphism, yielding a total of 180 individuals from 47 families for analyses, among which 92 siblings were homozygous for wild-type P allele. Siblings with the A variant tended to have lower levels of fasting plasma glucose (OG-10), and lower glucose levels at 60 min following oral glucose loading after adjusting for age, gender, and body mass index. Using a mixed model treating family as a random effect, we found that P12A polymorphism of the PPARgamma2 gene contributes significantly to the variance in fasting plasma glucose, glucose level at 60 min, and insulin-resistance homeostasis model assessment. Our

  1. Advanced Neutron Moderators for the ESS

    DEFF Research Database (Denmark)

    Schönfeldt, Troels

    . The main increases in thermal and cold intensity are achieved by upscaling the power density of reactors or proton beam power of spallation sources. Reactor development saturated in the 1960s with the construction of the continuous, compact, high-power-density reactors HFIR, Oak Ridge, and ILL, Grenoble...... crystal. The experiment compares single-crystal sapphire, sapphire powder and void. Sapphire was used, since no other single-crystal candidates (diamond, pyrolytic graphite and lithium uoride) could be obtained within the cost and time constraints of the experiment. Unfortunately, sapphire does...

  2. Characterization of 380nm UV-LEDs grown on free-standing GaN by atmospheric-pressure metal-organic chemical vapor deposition

    Science.gov (United States)

    Shieh, C. Y.; Li, Z. Y.; Kuo, H. C.; Chang, J. Y.; Chi, G. C.

    2014-03-01

    We reported the defects and optical characterizations of the ultraviolet light-emitting diodes grown on free-standing GaN substrate (FS-GaN) and sapphire. Cross-sectional transmission electron microscopy (TEM) images showed that the total defect densities of grown UV LEDs on FS-GaN and sapphire including edge, screw and mixed type were 3.6×106 cm-2 and 5.5×108 cm-2. When substrate of UV LEDs was changed from sapphire to FS-GaN, it can be clearly found that the crystallography of GaN epilayers was drastically different from that GaN epilayers on sapphire. Besides, the microstructures or indium clustering can be not observed at UV LEDs on FS-GaN from TEM measurement. The internal quantum efficiency of UVLEDs on FS-GaN and sapphire were 34.8 % and 39.4 % respectively, which attributed to indium clustering in multi-layers quantum wells (MQWs) of UV LEDs on sapphire. The relationship between indiumclustering and efficiency droop were investigated by temperature-dependent electroluminescence (TDEL) measurements.

  3. Effects of the crystallographic orientation of the Al2O3 substrate on the structural and the optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Lee, Chongmu; Park, Yeonkyu; Kim, Kyungha

    2006-01-01

    The structure and the optical properties of ZnO thin films grown on (0002) C-plane, (1120) A-plane, and (1012) R-plane sapphire substrates by using atomic layer epitaxy (ALE) were investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and photoluminescence analysis (PL) techniques. The ZnO film grown on the C-plane sapphire substrate has the smallest full width at half maximum (FWHM) values for both the X-ray (0002) diffraction peak and the photoluminescence peak for near-band-edge emission whereas that grown on the R-plane sapphire substrate has the largest FWHM values. On the other hand, the ZnO film grown on the C-plane sapphire substrate has the strong texture of the c-axis but the roughest surface while those grown on the R- and the C-plane sapphire substrates have smoother surfaces but do not have the texture of the c-axis.

  4. Strain-dependence of the structure and ferroic properties of epitaxial Ni1−xTi1−yO3 thin films grown on sapphire substrates

    International Nuclear Information System (INIS)

    Varga, Tamas; Droubay, Timothy C.; Bowden, Mark E.; Stephens, Sean A.; Manandhar, Sandeep; Shutthanandan, Vaithiyalingam; Colby, Robert J.; Hu, Dehong; Shelton, William A.; Chambers, Scott A.

    2015-01-01

    Polarization-induced weak ferromagnetism has been predicted a few years back in compounds MTiO 3 (M = Fe, Mn, Ni) (Fennie, 2008). We set out to stabilize this metastable, distorted perovskite structure by growing NiTiO 3 epitaxially on sapphire Al 2 O 3 (001) substrate, and to control the polar and magnetic properties via strain. Epitaxial Ni 1−x Ti 1−y O 3 films of different Ni/Ti ratios and thicknesses were deposited on Al 2 O 3 substrates by pulsed laser deposition at different temperatures, and characterized using several techniques. The effect of film thickness, deposition temperature, and film stoichiometry on lattice strain, film structure, and physical properties was investigated. Our structural data from x-ray diffraction, electron microscopy, and x-ray absorption spectroscopy shows that substrate-induced strain has a marked effect on the structure and crystalline quality of the films. Physical property measurements reveal a dependence of the Néel transition and lattice polarization on strain, and highlight our ability to control the ferroic properties in NiTiO 3 thin films by film stoichiometry and thickness. - Highlights: • NiTiO 3 epitaxial thin films with LiNbO 3 -type structure by pulsed laser deposition. • Strain varied by film thickness, stoichiometry, and synthesis temperature. • Systematic study of the effect of strain on film structure and physical properties. • Manipulation of ferroic properties by strain confirmed

  5. Comparison of parametric instabilities for different test mass materials in advanced gravitational wave interferometers

    International Nuclear Information System (INIS)

    Ju, L.; Zhao, C.; Gras, S.; Degallaix, J.; Blair, D.G.; Munch, J.; Reitze, D.H.

    2006-01-01

    Following the recognition that parametric instabilities can significantly compromise the performance of advanced laser interferometer gravitational wave detectors, we compare the performance of three different test mass configurations: all fused silica test masses, all sapphire test masses and fused silica inboard test masses with sapphire end test masses. We show that the configuration with sapphire end test masses offers the opportunity for thermal tuning on a time scale comparable to the ring up time of oscillatory instabilities. This approach may enable significant reduction of parametric gain

  6. Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant

    Energy Technology Data Exchange (ETDEWEB)

    Hermann, Martin

    2009-04-27

    In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption

  7. Pb(Zr,TiO3 (PZT Thin Film Sensors for Fully-Integrated, Passive Telemetric Transponders

    Directory of Open Access Journals (Sweden)

    Richard X. FU

    2011-04-01

    Full Text Available The great potential of taking advantages of PZT in a single chip to achieve inexpensive, fully-integrated, passive telemetric transponders has been shown in this paper. The processes for the sputter deposition of Pb(Zr,TiO3 (PZT thin films from two different composite targets on both Si and c-plane sapphire substrates have been demonstrated. PZT thin films have been deposited by sputter technique. PZT films were deposited onto substrates (Si [(100 Cz wafer] and c-plane sapphire (0001//Ti//Pt followed by sputter-deposited Pt top electrodes. X-ray diffraction results showed that both sputtered PZT films were textured along the [110] direction. The degree of preference for the [110] direction was greater on sapphire substrate where the intensity of that peak is seen to be larger compared to the intensity one Si substrate. TEM data revealed that both sputtered PZT films were polycrystalline in nature. Selected area diffraction (SAD pattern showed that the degree of disorientation between the crystallites was smaller on sapphire substrate compared to on Si substrate, which confirmed the results from the XRD. The remnant polarization Pr on sapphire substrate was larger than on Si’s. The leakage current for the 11 % Pb target sputtered film was much less than 22 % Pb target sputtered film. The breakdown voltage on sapphire substrate was the best. However, for the 11 % Pb target sputtered film’s breakdown voltage was much higher than 22 % Pb target sputtered film.

  8. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  9. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  10. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    International Nuclear Information System (INIS)

    Liu, H F; Chi, D Z; Liu, W; Guo, S

    2016-01-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal–organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [–4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al 2 O 3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings. (paper)

  11. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    Science.gov (United States)

    Liu, H. F.; Liu, W.; Guo, S.; Chi, D. Z.

    2016-03-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal-organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [-4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al2O3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings.

  12. CMOS/SOS processing

    Science.gov (United States)

    Ramondetta, P.

    1980-01-01

    Report describes processes used in making complementary - metal - oxide - semiconductor/silicon-on-sapphire (CMOS/SOS) integrated circuits. Report lists processing steps ranging from initial preparation of sapphire wafers to final mapping of "good" and "bad" circuits on a wafer.

  13. Efficient coupling of high intensity short laser pulses into snow clusters

    Science.gov (United States)

    Palchan, T.; Pecker, S.; Henis, Z.; Eisenmann, S.; Zigler, A.

    2007-01-01

    Measurements of energy absorption of high intensity laser pulses in snow clusters are reported. Targets consisting of sapphire coated with snow nanoparticles were found to absorb more than 95% of the incident light compared to 50% absorption in flat sapphire targets.

  14. Thermal resistance of indium coated sapphire–copper contacts below 0.1K

    CERN Document Server

    Eisel, T; Koettig, T

    2014-01-01

    High thermal resistances exist at ultra-low temperatures for solid-solid interfaces. This is especially true for pressed metal-sapphire joints, where the heat is transferred by phonons only. For such pressed joints it is difficult to achieve good physical, i.e. thermal contacts due to surface irregularities in the microscopic or larger scale. Applying ductile indium as an intermediate layer reduces the thermal resistance of such contacts. This could be proven by measurements of several researchers. However, the majority of the measurements were performed at temperatures higher than 1 K. Consequently, it is difficult to predict the thermal resistance of pressed metal-sapphire joints at temperatures below 1 K. In this paper the thermal resistances across four different copper-sapphire-copper sandwiches are presented in a temperature range between 30 mK and 100 mK. The investigated sandwiches feature either rough or polished sapphire discs (empty set 20 mm x 1.5 mm) to investigate the phonon scattering at the bo...

  15. Polarity and microstructure in InN thin layers grown by MOVPE

    International Nuclear Information System (INIS)

    Kuwano, N.; Nakahara, Y.; Amano, H.

    2006-01-01

    Microstructures in InN grown on sapphire (0001) and yttria-stabilized zirconia (YSZ) (111) by metal-organic vapor phase epitaxy (MOVPE) were analyzed by means of transmission electron microscopy (TEM) in order to clarify the growth process. Special attention was paid to the selectivity of the crystal polarity of InN. The InN thin films grown on sapphire after nitridation has a flat surface while those grown on YSZ has hillocks on the surface. The crystal polarity was determined by comparing the experimentally observed intensity distribution in convergent beam electron diffraction (CBED) disks with those simulated by the Broch-wave method. It was found that the InN grown on the sapphire has a nitrogen-polarity and the one on YSZ has a mixture of In- and N-polarities. The effect of surface-nitridation of sapphire on the growth process is also discussed (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Compact green-diode-based lasers for biophotonic bioimaging

    DEFF Research Database (Denmark)

    Jensen, Ole Bjarlin; Hansen, Anders Kragh; Petersen, Paul Michael

    2014-01-01

    Diode lasers simultaneously offer tunability, high-power emission, and compact size at fairly low cost and are increasingly preferred for pumping titanium:sapphire lasers.......Diode lasers simultaneously offer tunability, high-power emission, and compact size at fairly low cost and are increasingly preferred for pumping titanium:sapphire lasers....

  17. Investigation on the morphological and optical evolution of bimetallic Pd-Ag nanoparticles on sapphire (0001) by the systematic control of composition, annealing temperature and time.

    Science.gov (United States)

    Pandey, Puran; Kunwar, Sundar; Sui, Mao; Bastola, Sushil; Lee, Jihoon

    2017-01-01

    Multi-metallic alloy nanoparticles (NPs) can offer additional opportunities for modifying the electronic, optical and catalytic properties by the control of composition, configuration and size of individual nanostructures that are consisted of more than single element. In this paper, the fabrication of bimetallic Pd-Ag NPs is systematically demonstrated via the solid state dewetting of bilayer thin films on c-plane sapphire by governing the temperature, time as well as composition. The composition of Pd-Ag bilayer remarkably affects the morphology of alloy nanostructures, in which the higher Ag composition, i.e. Pd0.25Ag0.75, leads to the enhanced dewetting of bilayers whereas the higher Pd composition (Pd0.75Ag0.25) hinders the dewetting. Depending on the annealing temperature, Pd-Ag alloy nanostructures evolve with a series of configurations, i.e. nucleation of voids, porous network, elongated nanoclusters and round alloy NPs. In addition, with the annealing time set, the gradual configuration transformation from the elongated to round alloy NPs as well as size reduction is demonstrated due to the enhanced diffusion and sublimation of Ag atoms. The evolution of various morphology of Pd-Ag nanostructures is described based on the surface diffusion and inter-diffusion of Pd and Ag adatoms along with the Ag sublimation, Rayleigh instability and energy minimization mechanism. The reflectance spectra of bimetallic Pd-Ag nanostructures exhibit various quadrupolar and dipolar resonance peaks, peak shifts and absorption dips owing to the surface plasmon resonance of nanostructures depending on the surface morphology. The intensity of reflectance spectra is gradually decreased along with the surface coverage and NP size evolution. The absorption dips are red-shifted towards the longer wavelength for the larger alloy NPs and vice-versa.

  18. Investigation on the morphological and optical evolution of bimetallic Pd-Ag nanoparticles on sapphire (0001 by the systematic control of composition, annealing temperature and time.

    Directory of Open Access Journals (Sweden)

    Puran Pandey

    Full Text Available Multi-metallic alloy nanoparticles (NPs can offer additional opportunities for modifying the electronic, optical and catalytic properties by the control of composition, configuration and size of individual nanostructures that are consisted of more than single element. In this paper, the fabrication of bimetallic Pd-Ag NPs is systematically demonstrated via the solid state dewetting of bilayer thin films on c-plane sapphire by governing the temperature, time as well as composition. The composition of Pd-Ag bilayer remarkably affects the morphology of alloy nanostructures, in which the higher Ag composition, i.e. Pd0.25Ag0.75, leads to the enhanced dewetting of bilayers whereas the higher Pd composition (Pd0.75Ag0.25 hinders the dewetting. Depending on the annealing temperature, Pd-Ag alloy nanostructures evolve with a series of configurations, i.e. nucleation of voids, porous network, elongated nanoclusters and round alloy NPs. In addition, with the annealing time set, the gradual configuration transformation from the elongated to round alloy NPs as well as size reduction is demonstrated due to the enhanced diffusion and sublimation of Ag atoms. The evolution of various morphology of Pd-Ag nanostructures is described based on the surface diffusion and inter-diffusion of Pd and Ag adatoms along with the Ag sublimation, Rayleigh instability and energy minimization mechanism. The reflectance spectra of bimetallic Pd-Ag nanostructures exhibit various quadrupolar and dipolar resonance peaks, peak shifts and absorption dips owing to the surface plasmon resonance of nanostructures depending on the surface morphology. The intensity of reflectance spectra is gradually decreased along with the surface coverage and NP size evolution. The absorption dips are red-shifted towards the longer wavelength for the larger alloy NPs and vice-versa.

  19. Spectroscopic studies on technetium and silicon. A solid-state laser system for the resonance-ionization spectroscopy; Spektroskopische Untersuchungen an Technetium und Silizium. Ein Festkoerperlasersystem fuer die Resonanzionisationsspektroskopie

    Energy Technology Data Exchange (ETDEWEB)

    Mattolat, Christoph

    2010-11-15

    This doctoral thesis describes advancement and refinement of the titanium:sapphire laser system of the working group LARISSA, Institut fuer Physik, Johannes Gutenberg- Universitaet Mainz and its application to resonance ionization spectroscopy. Activities on the laser systems comprised three major tasks: The output power of the conventional titanium:sapphire lasers could be increased by a factor of two in order to match the needs at resonance ionization laser ion source at ISOL facilities. Additionally, the laser system was complemented by a titanium:sapphire laser in Littrow geometry, which ensures a mode-hop free tuning range from 700 nm to 950 nm, and by an injection seeded titanium:sapphire laser with a spectral width of 20 MHz (in respect to a spectral width of 3 GHz for the conventional lasers). The performance of the new laser system was tested in spectroscopic investigations of highly excited atomic levels of gold and technetium. From the measured level positions the ionization potential of gold could be verified by using the Rydberg-Ritz formula, while the ionization potential of technetium could be determined precisely for the first time. Using the seeded titanium: sapphire laser Doppler-free two-photon spectroscopy inside a hot ionizer cavity was demonstrated. A width of the recorded resonances of 90 MHz was achieved and the hyperfine structure and isotope shift of stable silicon isotopes was well resolved with this method. (orig.)

  20. Sadhana | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    The inertial mass is kept on three sapphire balls, which are attached to shear piezoelectric material. The behaviour of inertial mass was studied for different input waveforms and different surface conditions that come in contact with the sapphire balls. It was observed that under lubricated conditions the step size was reduced ...

  1. NEW OPTICAL SENSOR SUITE FOR ULTRAHIGH TEMPERATURE FOSSIL FUEL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Russell G. May; Tony Peng; Tom Flynn

    2004-12-01

    Accomplishments during the Phase I of a program to develop and demonstrate technology for the instrumentation of advanced powerplants are described. Engineers from Prime Research, LC and Babcock and Wilcox Research Center collaborated to generate a list of potential applications for robust photonic sensors in existing and future boiler plants. From that list, three applications were identified as primary candidates for initial development and demonstration of high-temperature sensors in an ultrasupercritical power plant. A matrix of potential fiber optic sensor approaches was derived, and a data set of specifications for high-temperature optical fiber was produced. Several fiber optic sensor configurations, including interferometric (extrinsic and intrinsic Fabry-Perot interferometer), gratings (fiber Bragg gratings and long period gratings), and microbend sensors, were evaluated in the laboratory. In addition, progress was made in the development of materials and methods to apply high-temperature optical claddings to sapphire fibers, in order to improve their optical waveguiding properties so that they can be used in the design and fabrication of high-temperature sensors. Through refinements in the processing steps, the quality of the interface between core and cladding of the fibers was improved, which is expected to reduce scattering and attenuation in the fibers. Numerical aperture measurements of both clad and unclad sapphire fibers were obtained and used to estimate the reduction in mode volume afforded by the cladding. High-temperature sensors based on sapphire fibers were also investigated. The fabrication of an intrinsic Fabry-Perot cavity within sapphire fibers was attempted by the bulk diffusion of magnesium oxide into short localized segments of longer sapphire fibers. Fourier analysis of the fringes that resulted when the treated fiber was interrogated by a swept laser spectrometer suggested that an intrinsic cavity had been formed in the fiber. Also

  2. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Testing local Lorentz and position invariance and variation of fundamental constants by searching the derivative of the comparison frequency between a cryogenic sapphire oscillator and hydrogen maser

    International Nuclear Information System (INIS)

    Tobar, Michael Edmund; Wolf, Peter; Bize, Sebastien; Santarelli, Giorgio; Flambaum, Victor

    2010-01-01

    The cryogenic sapphire oscillator at the Paris Observatory has been continuously compared to various hydrogen masers since 2001. The early data sets were used to test local Lorentz invariance in the Robertson-Mansouri-Sexl (RMS) framework by searching for sidereal modulations with respect to the cosmic microwave background, and represent the best Kennedy-Thorndike experiment to date. In this work, we present continuous operation over a period of greater than six years from September 2002 to December 2008 and present a more precise way to analyze the data by searching the time derivative of the comparison frequency. Because of the long-term operation we are able to search both sidereal and annual modulations. The results give P KT =β RMS -α RMS -1=-1.7(4.0)x10 -8 for the sidereal and -23(10)x10 -8 for the annual term, with a weighted mean of -4.8(3.7)x10 -8 , a factor of 8 better than previous. Also, we analyze the data with respect to a change in gravitational potential for both diurnal and annual variations. The result gives β H-Maser -β CSO =-2.7(1.4)x10 -4 for the annual and -6.9(4.0)x10 -4 for the diurnal terms, with a weighted mean of -3.2(1.3)x10 -4 . This result is 2 orders of magnitude better than other tests that use electromagnetic resonators. With respect to fundamental constants a limit can be provided on the variation with ambient gravitational potential and boost of a combination of the fine structure constant (α), the normalized quark mass (m q ), and the electron to proton mass ratio (m e /m p ), setting the first limit on boost dependence of order 10 -10 .

  4. New acrylic resin composite with improved thermal diffusivity.

    Science.gov (United States)

    Messersmith, P B; Obrez, A; Lindberg, S

    1998-03-01

    Studies have shown that physical characteristics of denture base materials may affect patient acceptance of denture prostheses by altering sensory experience of food during mastication. Thermal diffusivity is one material property that has been cited as being important in determining gustatory response, with denture base acrylic resins having low thermal diffusivity compared with denture base metal alloys. This study prepared and characterized experimental acrylic resin composite material with increased thermal diffusivity. Sapphire (Al2O3) whiskers were added to conventional denture base acrylic resin during processing to achieve loadings of 9.35% and 15% by volume. Cylindrical test specimens containing an embedded thermocouple were used to determine thermal diffusivity over a physiologic temperature range (0 degree to 70 degrees C). Thermal diffusivities of the sapphire containing composites were found to be significantly higher than the unmodified acrylic resin. Thermal diffusivity was found to increase in proportion to the volume percentage of sapphire filler, which suggested that the high aspect ratio ceramic particles formed a pathway for heat conduction through the insulating polymer matrix. The thermal diffusivity of denture base acrylic resin was increased by the addition of thermally conducting sapphire whiskers.

  5. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  6. Polarity Control in Group-III Nitrides beyond Pragmatism

    Science.gov (United States)

    Mohn, Stefan; Stolyarchuk, Natalia; Markurt, Toni; Kirste, Ronny; Hoffmann, Marc P.; Collazo, Ramón; Courville, Aimeric; Di Felice, Rosa; Sitar, Zlatko; Vennéguès, Philippe; Albrecht, Martin

    2016-05-01

    Controlling the polarity of polar semiconductors on nonpolar substrates offers a wealth of device concepts in the form of heteropolar junctions. A key to realize such structures is an appropriate buffer-layer design that, in the past, has been developed by empiricism. GaN or ZnO on sapphire are prominent examples for that. Understanding the basic processes that mediate polarity, however, is still an unsolved problem. In this work, we study the structure of buffer layers for group-III nitrides on sapphire by transmission electron microscopy as an example. We show that it is the conversion of the sapphire surface into a rhombohedral aluminum-oxynitride layer that converts the initial N-polar surface to Al polarity. With the various AlxOyNz phases of the pseudobinary Al2O3 -AlN system and their tolerance against intrinsic defects, typical for oxides, a smooth transition between the octahedrally coordinated Al in the sapphire and the tetrahedrally coordinated Al in AlN becomes feasible. Based on these results, we discuss the consequences for achieving either polarity and shed light on widely applied concepts in the field of group-III nitrides like nitridation and low-temperature buffer layers.

  7. Sharpness and intensity modulation of the metal-insulator transition in ultrathin VO2 films by interfacial structure manipulation

    Science.gov (United States)

    McGee, Ryan; Goswami, Ankur; Pal, Soupitak; Schofield, Kalvin; Bukhari, Syed Asad Manzoor; Thundat, Thomas

    2018-03-01

    Vanadium dioxide (VO2) undergoes a structural transformation from monoclinic (insulator) to tetragonal (metallic) upon heating above 340 K, accompanied by abrupt changes to its electronic, optical, and mechanical properties. Not only is this transition scientifically intriguing, but there are also numerous applications in sensing, memory, and optoelectronics. Here we investigate the effect different substrates and the processing conditions have on the characteristics metal-insulator transition (MIT), and how the properties can be tuned for specific applications. VO2 thin films were grown on c -plane sapphire (0001) and p-type silicon by pulsed laser deposition. High-resolution x-ray diffraction along with transmission electron microscopy reveals textured epitaxial growth on sapphire by domain-matching epitaxy, while the presence of a native oxide layer on silicon prevented any preferential growth resulting in a polycrystalline film. An orientation relationship of (010)VO2|| (0001)Al 2O3 was established for VO2 grown on sapphire, while no such relationship was found for VO2 grown on silicon. Surface-energy minimization is the driving force behind grain growth, as the lowest energy VO2 plane grew on silicon, while on sapphire the desire for epitaxial growth was dominant. Polycrystallinity of films grown on silicon caused a weaker and less prominent MIT than observed on sapphire, whose MIT was higher in magnitude and steeper in slope. The position of the MIT was shown to depend on the competing effects of misfit strain and grain growth. Higher deposition temperatures caused an increase in the MIT, while compressive strain resulted in a decreased MIT.

  8. Is laser conditioning a valid alternative to conventional etching for aesthetic brackets?

    Science.gov (United States)

    Sfondrini, M F; Calderoni, G; Vitale, M C; Gandini, P; Scribante, A

    2018-03-01

    ER:Yag lasers have been described as a more conservative alternative to conventional acid-etching enamel conditioning technique, when bonding conventional metallic orthodontic brackets. Since the use of aesthetic orthodontic brackets is constantly increasing, the purpose of the present report has been to test laser conditioning with different aesthetic brackets. Study Design: Five different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were tested for shear bond strength and Adhesive Remnant Index scores using two different enamel conditioning techniques (acid etching and ER:Yag laser application). Two hundred bovine incisors were extracted, cleaned and embedded in resin. Specimens were then divided into 10 groups with random tables. Half of the specimens were conditioned with conventional orthophosphoric acid gel, the other half with ER:Yag laser. Different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were then bonded to the teeth. Subsequently all groups were tested in shear mode with a Universal Testing Machine. Shear bond strength values and adhesive remnant index scores were recorded. Statistical analysis was performed. When considering conventional acid etching technique, sapphire, polyoxymethylene and sintered ceramic brackets exhibited the highest SBS values. Lowest values were reported for microfilled copolymer and glass fiber appliances. A significant decrease in SBS values after laser conditioning was reported for sapphire, polyoxymethylene and sintered ceramic brackets, whereas no significant difference was reported for microfilled copolymer and glass fiber brackets. Significant differences in ARI scores were also reported. Laser etching can significantly reduce bonding efficacy of sapphire, polyoxymethylene and sintered ceramic brackets.

  9. LASER PROCESSING ON SINGLE CRYSTALS BY UV PULSE LASER

    OpenAIRE

    龍見, 雅美; 佐々木, 徹; 高山, 恭宜

    2009-01-01

    Laser processing by using UV pulsed laser was carried out on single crystal such as sapphire and diamond in order to understand the fundamental laser processing on single crystal. The absorption edges of diamond and sapphire are longer and shorter than the wave length of UV laser, respectively. The processed regions by laser with near threshold power of processing show quite different state in each crystal.

  10. Study of confinement and sliding friction of fluids using sum frequency generation spectroscopy

    Science.gov (United States)

    Nanjundiah, Kumar

    2007-12-01

    Friction and wear are important technologically. Tires on wet roads, windshield wipers and human joints are examples where nanometer-thick liquids are confined between flexible-rigid contact interfaces. Fundamental understanding of the structure of these liquids can assist in the design of products such as artificial joints and lubricants for Micro-electromechanical systems [MEMS]. Prior force measurements have suggested an increase in apparent viscosity of confined liquid and sometimes solid-like responses. But, these have not given the state of molecules under confinement. In the present study, we have used a surface sensitive, non-linear optical technique (infrared-visible sum frequency generation spectroscopy [SFG]) to investigate molecular structure at hidden interfaces. SFG can identify chemical groups, concentration and orientation of molecules at an interface. A friction cell was developed to study sliding of a smooth elastomeric lens against a sapphire surface. Experiments were done with dry sliding as well as lubricated sliding in the presence of linear alkane liquids. SFG spectra at the alkane/sapphire interface revealed ordering of the confined alkane molecules. These were more ordered than alkane liquid, but less ordered than alkane crystal. Cooling of the confined alkane below its melting temperature [TM] led to molecular orientation that was different from that of bulk crystal next to a sapphire surface. Molecules were oriented with their symmetry axis parallel to the surface normal. In addition, the melting temperature [Tconf] under confinement for a series of linear alkanes (n =15--27) showed a surprising trend. Intermediate molecular weights showed melting point depression. The T conf values suggested that melting started at the alkane/sapphire interface. In another investigation, confinement of water between an elastomeric PDMS lens and sapphire was studied. SFG spectra at the sapphire/water/PDMS interface revealed a heterogeneous morphology. The

  11. Comparison of five automated hematology analyzers in a university hospital setting: Abbott Cell-Dyn Sapphire, Beckman Coulter DxH 800, Siemens Advia 2120i, Sysmex XE-5000, and Sysmex XN-2000.

    Science.gov (United States)

    Bruegel, Mathias; Nagel, Dorothea; Funk, Manuela; Fuhrmann, Petra; Zander, Johannes; Teupser, Daniel

    2015-06-01

    Various types of automated hematology analyzers are used in clinical laboratories. Here, we performed a side-by-side comparison of five current top of the range routine hematology analyzers in the setting of a university hospital central laboratory. Complete blood counts (CBC), differentials, reticulocyte and nucleated red blood cell (NRBC) counts of 349 patient samples, randomly taken out of routine diagnostics, were analyzed with Cell-Dyn Sapphire (Abbott), DxH 800 (Beckman Coulter), Advia 2120i (Siemens), XE-5000 and XN-2000 (Sysmex). Inter-instrument comparison of CBCs including reticulocyte and NRBC counts and investigation of flagging quality in relation to microscopy were performed with the complete set of samples. Inter-instrument comparison of five-part differential was performed using samples without atypical cells in blood smear (n=292). Automated five-part differentials and NRBCs were additionally compared with microscopy. The five analyzers showed a good concordance for basic blood count parameters. Correlations between instruments were less well for reticulocyte counts, NRBCs, and differentials. The poorest concordance for NRBCs with microscopy was observed for Advia 2120i (Kendall's τb=0.37). The highest flagging sensitivity for blasts was observed for XN-2000 (97% compared to 65%-76% for other analyzers), whereas overall specificity was comparable between different instruments. To the best of our knowledge, this is the most comprehensive side-by-side comparison of five current top of the range routine hematology analyzers. Variable analyzer quality and parameter specific limitations must be considered in defining laboratory algorithms in clinical practice.

  12. Fabrication and examination of epitaxial HTSC/isolator thin films on sapphire substrates for application in high frequency devices; Herstellung und Untersuchung von epitaktischen HTSL/Isolator-Schichten auf Saphirsubstraten zur Anwendung in HF-Bauelementen

    Energy Technology Data Exchange (ETDEWEB)

    Kittel, H.

    1995-10-01

    The use of high temperature superconductors (HTSC) like YBCO with distinct lower surface resistance compared to normal conductors allows miniaturisation of high frequency (HF) circuits. The object of this work was the fabrication of YBCO thin films on low loss sapphire substrates applicable for stripline devices. To induce epitaxial growth and to avoid chemical reaction at the film-substrate boundary buffer layers were investigated. The examination of the growth properties and especially of the surface impedance has been allotted particular importance. In contrast to CaTiO{sub 3} it was possible to deposit CeO{sub 2}-buffer layers in direct growth up to a thickness of about 30 nm without cracks. The films show all growth properties required and even Laue-oscillations being a feature of high quality growth enabling the determination of film thickness distribution without destruction. The YBCO growth-, transport- and HF-properties meet the ones of YBCO films on standard substrates. A remarkable result is that the mosaic distribution of the CEO film, itself strongly dependend on film thickness, does not influence that of the YBCO film considerably. Rather it changes its shape subsequently due to YBCO deposition. A further particularity in contrast to deposition on standard substrates is the need to adjust the substrate heater tempeature for deposition of YBCO films with thicknesses {>=}300 nm needed for HF application. To demonstrate their usefullness some stripline devices like planar coils and side coupled filters have been fabricated and characterised. (orig.)

  13. Visual observation of gas hydrates nucleation and growth at a water - organic liquid interface

    Science.gov (United States)

    Stoporev, Andrey S.; Semenov, Anton P.; Medvedev, Vladimir I.; Sizikov, Artem A.; Gushchin, Pavel A.; Vinokurov, Vladimir A.; Manakov, Andrey Yu.

    2018-03-01

    Visual observation of nucleation sites of methane and methane-ethane-propane hydrates and their further growth in water - organic liquid - gas systems with/without surfactants was carried out. Sapphire Rocking Cell RCS6 with transparent sapphire cells was used. The experiments were conducted at the supercooling ΔTsub = 20.2 °C. Decane, toluene and crude oils were used as organics. Gas hydrate nucleation occurred on water - metal - gas and water - sapphire - organic liquid three-phase contact lines. At the initial stage of growth hydrate crystals rapidly covered the water - gas or water - organics interfaces (depending on the nucleation site). Further hydrate phase accrete on cell walls (sapphire surface) and into the organics volume. At this stage, growth was accompanied by water «drawing out» from under initial hydrate film formed at water - organic interface. Apparently, it takes place due to water capillary inflow in the reaction zone. It was shown that the hydrate crystal morphology depends on the organic phase composition. In the case of water-in-decane emulsion relay hydrate crystallization was observed in the whole sample, originating most likely due to the hydrate crystal intergrowth through decane. Contacts of such crystals with adjacent water droplets result in rapid hydrate crystallization on this droplet.

  14. Solid-state laser source of narrowband ultraviolet B light for skin disease care with advanced performance

    Science.gov (United States)

    Tarasov, Aleksandr A.; Chu, Hong; Buchwald, Kristian

    2015-02-01

    Two years ago we reported about the development of solid state laser source for medical skin treatment with wavelength 310.6 nm and average power 200 mW. Here we describe the results of investigation of the advanced version of the laser, which is a more compact device with increased output power and flat top beam profile. Ti: Sapphire laser, the main module of our source, was modified and optimized such, that UV average power of the device was increased 1.7 times. Fiber optic homogenizer was replaced by articulated arm with diffraction diffuser, providing round spot with flat profile at the skin. We investigated and compare characteristics of Ti: Sapphire lasers with volume Bragg grating and with fused silica transmission grating, which was used first time for Ti: Sapphire laser spectral selection and tuning. Promising performance of last gratings is demonstrated.

  15. Vibrational Spectroscopy of Laser Cooled CaH

    Science.gov (United States)

    2015-10-28

    commercially available titanium -sapphire laser without any modification as explained in detail in subsection 4.2. Because these are higher-order...Briefly, a diode laser operates by emitting photons when current is run through the active region of between a n-type and p-type cladding layers. This...address all the rotational levels. We use a mode-locked femtosecond Titanium -Sapphire (Ti:Sapph) laser . For our experiments, we used a Coherent Mira

  16. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  17. Fundamental study of FC-72 pool boiling surface temperature fluctuations and bubble behavior

    Science.gov (United States)

    Griffin, Alison R.

    A heater designed to monitor surface temperature fluctuations during pool boiling experiments while the bubbles were simultaneously being observed has been fabricated and tested. The heat source was a transparent indium tin oxide (ITO) layer commercially deposited on a fused quartz substrate. Four copper-nickel thin film thermocouples (TFTCs) on the heater surface measured the surface temperature, while a thin layer of sapphire or fused silica provided electrical insulation between the TFTCs and the ITO. The TFTCs were micro-fabricated using the liftoff process to deposit the nickel and copper metal films. The TFTC elements were 50 mum wide and overlapped to form a 25 mum by 25 mum junction. TFTC voltages were recorded by a DAQ at a sampling rate of 50 kHz. A high-speed CCD camera recorded bubble images from below the heater at 2000 frames/second. A trigger sent to the camera by the DAQ synchronized the bubble images and the surface temperature data. As the bubbles and their contact rings grew over the TFTC junction, correlations between bubble behavior and surface temperature changes were demonstrated. On the heaters with fused silica insulation layers, 1--2°C temperature drops on the order of 1 ms occurred as the contact ring moved over the TFTC junction during bubble growth and as the contact ring moved back over the TFTC junction during bubble departure. These temperature drops during bubble growth and departure were due to microlayer evaporation and liquid rewetting the heated surface, respectively. Microlayer evaporation was not distinguished as the primary method of heat removal from the surface. Heaters with sapphire insulation layers did not display the measurable temperature drops observed with the fused silica heaters. The large thermal diffusivity of the sapphire compared to the fused silica was determined as the reason for the absence of these temperature drops. These findings were confirmed by a comparison of temperature drops in a 2-D simulation of

  18. Developments of a bonding technique for optical materials by a surface activation method

    International Nuclear Information System (INIS)

    Sugiyama, Akira; Oda, Tomohiro; Abe, Tomoyuki; Kusunoki, Isao

    2007-01-01

    We have been developing a pair of sample holder used for optics in the surface activation bonding equipment. The holder can adjust the relative position of samples in the order of sub mm. To study the degree of dislocation appearing crystal surface activated by a fast atomic beam, irradiated sapphire crystals were examined by RBS, XPS, and AFM analysis. The heat treatment recovered the surface roughness of irradiated sapphire when the heating temperature reached at 1573 K. (author)

  19. UV Detector Materials Development Program

    Science.gov (United States)

    1981-12-01

    uses the halide (AICI3) reaction with NH:j as for GaN, Dr. K. Lakin at USC grew AIN epitaxially on sapphire for surface acoustic wave devices using a...growing 11 interface was measured either by an optical pyrometer , (one color temperature) taking account of the emissivity of the surface, or by a... pyrometer . 12 Here, both sapphire and GaN were used as well as direct observations of the graphite susceptor. Description of Growth Apparatus A schematic

  20. Growth and characterization of β-Ga2O3 crystals

    Science.gov (United States)

    Nikolaev, V. I.; Maslov, V.; Stepanov, S. I.; Pechnikov, A. I.; Krymov, V.; Nikitina, I. P.; Guzilova, L. I.; Bougrov, V. E.; Romanov, A. E.

    2017-01-01

    Here we report on the growth and characterization of β-Ga2O3 bulk crystals and polycrystalline layer on different substrates. Bulk β-Ga2O3 crystals were produced by free crystallisation of gallium oxide melt in sapphire crucible. Transparent single crystals measuring up to 8 mm across were obtained. Good structural quality was confirmed by x-ray diffraction rocking curve FWHM values of 46″. Young's modulus, shear modulus and hardness of the β-Ga2O3 crystals were measured by nanoindentation and Vickers microindentation techniques. Polycrystalline β-Ga2O3 films were deposited on silicon and sapphire substrates by sublimation method. It was found that structure and morphology of the films were greatly influenced by the material and orientation of the substrates. The best results were achieved on a-plane sapphire substrates where predominantly (111) oriented films were obtained.

  1. Development of beam halo monitors for the European XFEL using radiation hard sensors and demonstration of the technology at FLASH

    International Nuclear Information System (INIS)

    Ignatenko, Alexandr

    2015-05-01

    The European X-Ray Free-Electron Laser (E-XFEL), currently under construction in Hamburg, Germany, is intended to be an international linear accelerator (linac) based user facility. Its electron beam can carry maximal average power of 600 kW. A beam with such a high power needs to be carefully transmitted through the machine and safely dumped after utilization. This is supported by various diagnostics tools. A Beam Halo Monitor (BHM) based on synthetic diamond and sapphire sensors has been designed. Diamond sensors are developed by the company element6 for the detection of ionizing radiation and used previously elsewhere. Sapphire sensors are in this thesis applied for the first time. The BHM concept has been applied already at the Free-electron Laser in Hamburg (FLASH). A module with four diamond and four sapphire sensors was designed, installed inside the beam pipe, commissioned, calibrated and has been successfully operated for 4 years. The system contributed significantly to safe and efficient operation of FLASH. Both types of the sensors for the BHM were characterized. Measurements of radiation tolerance are done in a 10 MeV electron beam for polycrystalline CVD (pCVD) diamond sensors for the first time up to a dose of 10 MGy and for sapphire sensors up to 5 MGy. The charge collection efficiency (CCE) drops as a function of the absorbed dose, is however still sufficient for application as a BHM. To improve a main sensor characteristic, the charge collection efficiency, for sapphire sensors the impurity concentration was reduced and different growth techniques were compared. Finally, charge collection efficiency of about 5 % for a bias voltage of 500 V was reached. The BHM concept for the XFEL is designed and in the construction phase.

  2. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  3. Preparation of a Non-Polar ZnO Film on a Single-Crystal NdGaO3 Substrate by the RF Sputtering Method

    Science.gov (United States)

    Kashiwaba, Y.; Tanaka, Y.; Sakuma, M.; Abe, T.; Imai, Y.; Kawasaki, K.; Nakagawa, A.; Niikura, I.; Kashiwaba, Y.; Osada, H.

    2018-04-01

    Preparation of non-polar ZnO ( 11\\overline{2} 0 ) films on single-crystal NdGaO3 (NGO) (001) substrates was successfully achieved by the radio frequency (RF) sputtering method. Orientation, deposition rate, and surface roughness of ZnO films strongly depend on the working pressure. Characteristics of ZnO films deposited on single-crystal NGO (001) substrates were compared with those of ZnO films deposited on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. An x-ray diffraction peak of the ZnO ( 11\\overline{2} 0 ) plane was observed on ZnO films deposited on single-crystal NGO (001) substrates under working pressure of less than 0.5 Pa. On the other hand, uniaxially oriented ZnO ( 11\\overline{2} 0 ) films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates were observed under working pressure of 0.1 Pa. The mechanism by which the diffraction angle of the ZnO ( 11\\overline{2} 0 ) plane on single-crystal NGO (001) substrates was shifted is discussed on the basis of anisotropic stress of lattice mismatch. The deposition rate of ZnO films decreased with an increase in working pressure, and the deposition rate on single-crystal NGO (001) substrates was larger than that on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. Root mean square (RMS) roughness of ZnO films increased with an increase in working pressure, and RMS roughness of ZnO films on single-crystal NGO (001) substrates was smaller than that of ZnO films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates even though the film thickness on single-crystal NGO (001) substrates was greater than that on sapphire substrates. It is thought that a single-crystal NGO (001) substrate is useful for deposition of non-polar ZnO ( 11\\overline{2} 0 ) films.

  4. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  5. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  6. Silicon integrated circuits part A : supplement 2

    CERN Document Server

    Kahng, Dawon

    1981-01-01

    Applied Solid State Science, Supplement 2: Silicon Integrated Circuits, Part A focuses on MOS device physics. This book is divided into three chapters-physics of the MOS transistor; nonvolatile memories; and properties of silicon-on-sapphire substrates devices, and integrated circuits. The topics covered include the short channel effects, MOSFET structures, floating gate devices, technology for nonvolatile semiconductor memories, sapphire substrates, and SOS integrated circuits and systems. The MOS capacitor, MIOS devices, and SOS process and device technology are also deliberated. This public

  7. Integrated Photonic Neural Probes for Patterned Brain Stimulation

    Science.gov (United States)

    2017-08-14

    only 250µm wide, identical to the diameter of the optical fiber that couples to it. Subplot (4c) shows an unscaled schematic drawing of the layer...demultiplexing, we used a mode- locked Ti:Sapphire femtosecond laser to pump in a single pass fashion a thin non-linear crystal of BBO (Beta Barium...Borate), to produce a second harmonic signal as shown in Figure (9a). The mode- locked Ti:Sapphire laser is tuned at 946nm wavelength with 70fs pulse

  8. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  9. Efficient generation of 509 nm light by sum-frequency mixing between two tapered diode lasers

    DEFF Research Database (Denmark)

    Tawfieq, Mahmoud; Jensen, Ole Bjarlin; Hansen, Anders Kragh

    2015-01-01

    We demonstrate a concept for visible laser sources based on sum-frequency generation of beam com- bined tapered diode lasers. In this specific case, a 1.7 W sum-frequency generated green laser at 509 nm is obtained, by frequency adding of 6.17 W from a 978 nm tapered diode laser with 8.06 W from...... a 1063 nm tapered diode laser, inside a periodically poled MgO doped lithium niobate crystal. This corresponds to an optical to optical conversion ef fi ciency of 12.1%. As an example of potential applica- tions, the generated nearly diffraction-limited green light is used for pumping a Ti:sapphire laser......, thus demonstrating good beam quality and power stability. The maximum output powers achieved when pumping the Ti:sapphire laser are 226 mW (CW) and 185 mW (mode-locked) at 1.7 W green pump power. The optical spectrum emitted by the mode-locked Ti:sapphire laser shows a spectral width of about 54 nm...

  10. New laser design for NIR lidar applications

    Science.gov (United States)

    Vogelmann, H.; Trickl, T.; Perfahl, M.; Biggel, S.

    2018-04-01

    Recently, we quantified the very high spatio-temporal short term variability of tropospheric water vapor in a three dimensional study [1]. From a technical point of view this also depicted the general requirement of short integration times for recording water-vapor profiles with lidar. For this purpose, the only suitable technique is the differential absorption lidar (DIAL) working in the near-infrared (NIR) spectral region. The laser emission of most water vapor DIAL systems is generated by Ti:sapphire or alexandrite lasers. The water vapor absorption band at 817 nm is predominated for the use of Ti:sapphire. We present a new concept of transversely pumping in a Ti:Sapphire amplification stage as well as a compact laser design for the generation of single mode NIR pulses with two different DIAL wavelengths inside a single resonator. This laser concept allows for high output power due to repetitions rates up to 100Hz or even more. It is, because of its compactness, also suitable for mobile applications.

  11. Defects in zinc oxide grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ling, Francis C.C., E-mail: ccling@hku.hk [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Wang, Zilan; Ping Ho, Lok; Younas, M. [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Anwand, W.; Wagner, A. [Institute of Radiation Physics, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden (Germany); Su, S.C. [Institute of Optoelectronic Material and Technology, South China Normal University, Guangzhou 510631 (China); Shan, C.X. [State Key Laboratory of Luminescence and Applications, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130033 (China)

    2016-01-01

    ZnO films are grown on c-plane sapphire using the pulsed laser deposition method. Systematic studies on the effects of annealing are performed to understand the thermal evolutions of the defects in the films. Particular attention is paid to the discussions of the ZnO/sapphire interface thermal stability, the Zn-vacancy related defects having different microstructures, the origins of the green luminescence (∼2.4–2.5 eV) and the near band edge (NBE) emission at 3.23 eV.

  12. H{sub 2}O{sub 2}-molecular beam epitaxy of high quality ZnO

    Energy Technology Data Exchange (ETDEWEB)

    El Shaer, A.; Bakin, A.; Che Mofor, A.; Kreye, M.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Blaesing, J.; Krost, A. [Otto-von-Guericke-University, Institute of Experimental Physics, Magdeburg (Germany); Stoimenos, J. [Aristotele University, Physics Department, Thessaloniki (Greece); Pecz, B. [Hungarian Academy of Sciences, Research Institute for Technical Physics and Materials Science, P.O. Box 49, Budapest (Hungary)

    2007-07-15

    We have studied the growth and characterization of ZnO epilayers on (0001)-sapphire by H{sub 2}O{sub 2}-molecular beam epitaxy (MBE). A high temperature (HT) MgO buffer followed by a low-temperature ZnO buffer was introduced in order to accommodate the lattice mismatch between ZnO and sapphire. The surface morphology of the samples was studied using atomic force microscopy (AFM), and scanning electron microscopy (SEM). The crystalline quality of the layers was investigated by employing high resolution X-ray diffractometry (HRXRD) and high resolution transmission electron microscopy (HRTEM). The electrical properties of the grown ZnO layers were studied by Hall-effect measurements in a standard van der Pauw configuration. The measured surface roughness for the best layers is as low as 0.26 nm rms. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO heteroepitaxially grown on (0001)-sapphire with a HT MgO buffer layers. The influence of the growth conditions on the crystalline quality is discussed. The FWHM of the HRXRD (0002) rocking curves measured for the 2-inch ZnO-on-sapphire is as low as 27 arcsec with a very high lateral homogeneity across the whole 2-inch ZnO epilayers. The results indicate that H{sub 2}O{sub 2}-MBE is a suitable technique to fabricate ZnO epilayers of very high quality. (orig.)

  13. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  14. Single Crystal Filters for Neutron Spectrometry

    International Nuclear Information System (INIS)

    Habib, N.

    2008-01-01

    A study of neutron transmission properties trough a large single crystals specimens of Si, Ge, Pb, Bi and sapphire at 300 K and 80 K have been made for a wide range of neutron energies. The effectiveness of such filters is given by the ratio of the total cross-section of unwanted epithermal neutrons to that the desired thermal neutron beam and by the optimum choice of the crystal orientation, its mosaic spread, thickness and temperature.Our study indicates that sapphire is significantly more effective than the others for a wide range of neutron energies

  15. Coherent anti-Stokes Raman scattering microscopy with a photonic crystal fiber based light source

    DEFF Research Database (Denmark)

    Paulsen, H.N.; Hilligsøe, Karen Marie; Thøgersen, J.

    2003-01-01

    A coherent anti-Stokes Raman scattering microscope based on a Ti:sapphire femtosecond oscillator and a photonic crystal fiber is demonstrated. The nonlinear response of the fiber is used to generate the additional wavelength needed in the Raman process. The applicability of the setup is demonstra......A coherent anti-Stokes Raman scattering microscope based on a Ti:sapphire femtosecond oscillator and a photonic crystal fiber is demonstrated. The nonlinear response of the fiber is used to generate the additional wavelength needed in the Raman process. The applicability of the setup...

  16. Surface Features and Cathodoluminescence (CL) Characteristics of Corundum Gems from Eastern of Thailand

    Science.gov (United States)

    Boonsoong, A.

    2017-12-01

    Thailand has long been well known as a supplier of gemstones and also one of the world's color stone centers for decades. The principal gemstones are corundum, garnet and zircon. The corundum deposits of Chanthaburi-Trat Provinces form the most significant ruby-sapphire concentration in Thailand. Corundums are commonly found in secondary deposits (alluvium, elluvial, residual-soil and colluvium deposits as well as stream sediments) with the thickness of the gem-bearing layer varying from 10-100cm and the thickness of the overburden ranging up to 15m. A number of corundum samples were collected from each of the twenty-nine corundum deposits in the Chanthaburi-Trat gem fields, eastern of Thailand. Corundum varies in colour across the region with colours associated with three geographic zones; a western zone, characterized by blue, green and yellow sapphires; a middle zone with blue, green sapphires plus rubies; and an eastern zone yielding mainly rubies. This project has aim to study surface features and characterize the Cathodoluminescence (CL) of corundum gems in the Chanthaburi-Trat gem fields, Thailand. Surfaces of the corundums under a scanning electron microscope show triangular etch features and randomly oriented needle-like patterns. These reveal that the corundums have interacted with the magma during their ascent to the Earth's surface. Surface features attributable to transport and weathering processes are scratches, conchoidal fractures and a spongy surface appearance. Clay minerals and Fe-Ti oxide minerals deposited on the spongy surfaces of some corundums also indicate that these grains experienced chemical weathering or reacted with the soil solution while they were in the alluvium. Cathodoluminescence shows some blue sapphires to exhibit dull blue luminescence. The main cause of the CL appearance of sapphires is likely to be a quench centre, Fe2+ in their structure. The bright red luminescence in corundum reflects a high Cr3+ content and is always

  17. Static and kinetic friction force and surface roughness of different archwire-bracket sliding contacts.

    Science.gov (United States)

    Carrion-Vilches, Francisco J; Bermudez, María-Dolores; Fructuoso, Paula

    2015-01-01

    The aim of this study was to determine the static and kinetic friction forces of the contact bracket-archwire with different dental material compositions in order to select those materials with lower resistance to sliding. We carried out sliding friction tests by means of a universal testing machine following an experimental procedure as described in ASTM D1894 standard. We determined the static and kinetic friction forces under dry and lubricating conditions using an artificial saliva solution at 36.5ºC. The bracket-archwire pairs studied were: stainless steel-stainless steel; stainless steel-glass fiber composite; stainless steel-Nitinol 60; sapphire-stainless steel; sapphire-glass fiber composite; and sapphire-Nitinol 60. The best performance is obtained for Nitinol 60 archwire sliding against a stainless steel bracket, both under dry and lubricated conditions. These results are in agreement with the low surface roughness of Nitinol 60 with respect to the glass fiber composite archwire. The results described here contribute to establishing selection criteria for materials for dental archwire-brackets.

  18. Far-infrared properties of sol-gel derived PbZr0.52Ti0.48O3 thin films on Pt-coated substrates

    International Nuclear Information System (INIS)

    Kafadaryan, E A; Hovsepyan, R K; Khachaturova, A A; Aghamalyan, N R; Shirinyan, G O; Manukyan, A L; Vardanyan, R S; Hayrapetyan, A G; Grigoryan, S G; Vardanyan, E S

    2003-01-01

    Polycrystalline tetragonal PbZr 0.52 Ti 0.48 O 3 (PZT) thin films have been deposited on the nickel and (111) platinum coated (110) sapphire substrates by the sol-gel method. Optical properties of the PZT thin films were studied using far-infrared reflectivity spectroscopy in the 200-10 000 cm -1 frequency range at 300 K. The frequency dependence of the optical characteristics (σ, ε, -Im ε -1 ) of the films were calculated by the Kramers-Kronig transformation of the reflectivity spectra and analysed by the Drude-Lorentz model. The frequency dependence of the optical conductivity, σ(ω), of the PZT films deposited on platinum coated sapphire is well described by the free-carrier term and an overdamped mid-infrared component. Sapphire/Pt/PZT structures reveal semiconductor properties (effective carrier concentration N/m* is up to 10 20 cm -3 , plasma minimum is located near 3000 cm -1 ). This effect can be related to the favourable influence of the platinum electrode on the charge carrier density at Pt/PZT contact and formation of the interfacial conductive layer

  19. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  20. Low-energy X-ray detection in cryogenic detectors with tungsten thermometers

    International Nuclear Information System (INIS)

    Colling, P.; Nucciotti, A.; Bucci, C.; Cooper, S.; Ferger, P.; Frank, M.; Nagel, U.; Proebst, F.; Seidel, W.

    1994-08-01

    In the course of our development of calorimetric particle detectors with superconducting phase transition thermometers, we have succeeded in depositing epitaxial α-tungsten films on sapphire which have critical temperatures T c near 15 mK. To our knowledge this is the first time that the T c of bulk tungsten has been observed in thin films. Such films used as thermometers are very sensitive and provide good energy resolution: with 4 g and 32 g sapphire crystals energy resolutions of better than 100eV (FWHM) for 1.5 KeV X-rays have been achieved. (orig.)

  1. Optical trigger: a Cherenkov effect discriminator for high energy physics. Realisation and characterisation of thin films whose refractive index allow discrimination over a wide spectral range

    International Nuclear Information System (INIS)

    Delbart, A.

    1996-01-01

    The first part of this thesis sets the physical principles, and properties of actual Optical Triggers. For each of them, the cupel is sapphire made, and the external medium is liquid because of refractive index. The theory of Cherenkov emitted light cone explain how sapphire birefringence affects discrimination conditions.The second parts of the thesis (the main one) is focussed on study and realization of thin films for Optical Trigger. A layer characterization method has been developed by spectrophotometry, based on Perkin-Elmer laboratory device. Computerized simulation helped us to determine characteristics and limits of the studied device. (D.L.)

  2. Differences in structure and magnetic behavior of Mn-AlN films due to substrate material

    International Nuclear Information System (INIS)

    Sato, Takanobu; Nakatani, Ryoichi; Endo, Yasushi; Kirino, Fumiyoshi

    2009-01-01

    The structure and magnetic behavior of Mn-AlN (Al 1-x Mn x N, x = 0.03, 0.04) films deposited on thermally oxidized Si (001) substrates and sapphire (0001) substrates were studied. Mn-AlN films deposited on each substrate had a wuertzite-type AlN phase with a preferentially oriented c-axis. Mn-AlN films that were deposited on Si (001) substrate exhibited paramagnetic behavior. In addition to paramagnetic behavior, weak ferromagnetic behavior with curie temperatures higher than room temperature were observed for Mn-AlN films deposited on sapphire (0001) substrates.

  3. Optical trigger: a Cherenkov effect discriminator for high energy physics. Realisation and characterisation of thin films whose refractive index allow discrimination over a wide spectral range.; Le Trigger Optique: un discriminateur a effet Cherenkov pour la physique des particules. Realisation et caracterisation de couches minces dont l`indice de refraction autorise la discrimination sur un large domaine spectral

    Energy Technology Data Exchange (ETDEWEB)

    Delbart, A

    1996-06-19

    The first part of this thesis sets the physical principles, and properties of actual Optical Triggers. For each of them, the cupel is sapphire made, and the external medium is liquid because of refractive index. The theory of Cherenkov emitted light cone explain how sapphire birefringence affects discrimination conditions.The second parts of the thesis (the main one) is focussed on study and realization of thin films for Optical Trigger. A layer characterization method has been developed by spectrophotometry, based on Perkin-Elmer laboratory device. Computerized simulation helped us to determine characteristics and limits of the studied device. (D.L.). Refs.

  4. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  5. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  6. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  7. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  8. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  9. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  10. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Status of the CLIO project

    International Nuclear Information System (INIS)

    Miyoki, S; Uchiyama, T; Yamamoto, K

    2004-01-01

    The CLIO project involves the Cryogenic Laser Interferometer Observatory (CLIO) detector complex for gravitational wave detection and the Kamioka Laser Interferometric Strainmeter for the acquisition of geophysical data. CLIO has been constructed to demonstrate the feasibility of a future project, the Large-scale Cryogenic Gravitational wave Telescope (LCGT). It will utilize the low seismic and stable environment of the Kamioka mine as well as sapphire mirrors and suspension fibres at low temperature to reduce thermal noise. We designed CLIO to have a noise level limited by the thermal noise of sapphire mirrors and sapphire suspension fibres, which vary from 3 x 10 -19 m Hz -1/2 at 300 K to 2 x 10 -20 m Hz -1/2 at 20 K around 100 Hz. The strainmeter has already succeeded in monitoring the Earth's tidal motion with a strain sensitivity of 2 x 10 -12 . The seismic noise veto between these same-scale interferometers is expected to provide an effective means of data selection for the gravitational wave signal analysis, and the ground motion data obtained by the strainmeter will help to maintain the stable operation of CLIO

  12. Chemically stabilized epitaxial wurtzite-BN thin film

    Science.gov (United States)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  13. Natural substrate lift-off technique for vertical light-emitting diodes

    Science.gov (United States)

    Lee, Chia-Yu; Lan, Yu-Pin; Tu, Po-Min; Hsu, Shih-Chieh; Lin, Chien-Chung; Kuo, Hao-Chung; Chi, Gou-Chung; Chang, Chun-Yen

    2014-04-01

    Hexagonal inverted pyramid (HIP) structures and the natural substrate lift-off (NSLO) technique were demonstrated on a GaN-based vertical light-emitting diode (VLED). The HIP structures were formed at the interface between GaN and the sapphire substrate by molten KOH wet etching. The threading dislocation density (TDD) estimated by transmission electron microscopy (TEM) was reduced to 1 × 108 cm-2. Raman spectroscopy indicated that the compressive strain from the bottom GaN/sapphire was effectively released through the HIP structure. With the adoption of the HIP structure and NSLO, the light output power and yield performance of leakage current could be further improved.

  14. Pressure-vessel surveillance dosimetry using solid-state track recorders

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Gold, R.; Roberts, J.H.

    1981-01-01

    In addition to radiometric and SSTR dosimetry sets, helium accumulation fluence monitors, damage monitors, and temperature monitors are being studied. The ideal dosimetry set would monitor neutron fluence, damage, and temperature with as few materials as possible in order to reduce costs and required space. It is hoped that materials such as quartz SSTR and sapphire damage monitors can be developed as multipurpose materials. Sapphire for instance, might be used as a combined fluence and damage monitor (for example, analyzed for helium accumulation, Np 237 fissions, and direct neutron damage). Continuing research will result in the optimization of dosimetry packages for use in long term surveillance of LWR Pressure Vessels

  15. Anchoring of alkyl chain molecules on oxide surface using silicon alkoxide

    Energy Technology Data Exchange (ETDEWEB)

    Narita, Ayumi, E-mail: narita.ayumi@jaea.go.jp [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan); Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao; Hirao, Norie [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Yaita, Tsuyoshi [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan)

    2012-01-01

    Chemical states of the interfaces between octadecyl-triethoxy-silane (ODTS) molecules and sapphire surface were measured by X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure (NEXAFS) using synchrotron soft X-rays. The nearly self-assembled monolayer of ODTS was formed on the sapphire surface. For XPS and NEXAFS measurements, it was elucidated that the chemical bond between silicon alkoxide in ODTS and the surface was formed, and the alkane chain of ODTS locates upper side on the surface. As a result, it was elucidated that the silicon alkoxide is a good anchor for the immobilization of organic molecules on oxides.

  16. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  17. Sub-40 fs, 1060-nm Yb-fiber laser enhances penetration depth in nonlinear optical microscopy of human skin

    Science.gov (United States)

    Balu, Mihaela; Saytashev, Ilyas; Hou, Jue; Dantus, Marcos; Tromberg, Bruce J.

    2015-12-01

    Advancing the practical utility of nonlinear optical microscopy requires continued improvement in imaging depth and contrast. We evaluated second-harmonic generation (SHG) and third-harmonic generation images from ex vivo human skin and showed that a sub-40 fs, 1060-nm Yb-fiber laser can enhance SHG penetration depth by up to 80% compared to a >100 fs, 800 nm Ti:sapphire source. These results demonstrate the potential of fiber-based laser systems to address a key performance limitation related to nonlinear optical microscopy (NLOM) technology while providing a low-barrier-to-access alternative to Ti:sapphire sources that could help accelerate the movement of NLOM into clinical practice.

  18. The SLAC polarized electron source

    International Nuclear Information System (INIS)

    Tang, H.; Alley, R.; Frisch, J.

    1995-06-01

    The SLAC polarized electron source employs a photocathode DC high voltage gun with a loadlock and a YAG pumped Ti:sapphire laser system for colliding beam experiments or a flash lamp pumped Ti:sapphire laser for fixed target experiments. It uses a thin, strained GaAs(100) photocathode, and is capable of producing a pulsed beam with a polarization of ≥80% and a peak current exceeding 10 A. Its operating efficiency has reached 99%. The physics and technology of producing high polarization electron beams from a GaAs photocathode will be reviewed. The prospects of realizing a polarized electron source for future linear colliders will also be discussed

  19. Optical emission spectrometric determination of arsenic and antimony by continuous flow chemical hydride generation and a miniaturized microwave microstrip argon plasma operated inside a capillary channel in a sapphire wafer

    International Nuclear Information System (INIS)

    Pohl, Pawel; Zapata, Israel Jimenez; Bings, Nicolas H.; Voges, Edgar; Broekaert, Jose A.C.

    2007-01-01

    Continuous flow chemical hydride generation coupled directly to a 40 W, atmospheric pressure, 2.45 GHz microwave microstrip Ar plasma operated inside a capillary channel in a sapphire wafer has been optimized for the emission spectrometric determination of As and Sb. The effect of the NaBH 4 concentration, the concentration of HCl, HNO 3 and H 2 SO 4 used for sample acidification, the Ar flow rate, the reagent flow rates, the liquid volume in the separator as well as the presence of interfering metals such as Fe, Cu, Ni, Co, Zn, Cd, Mn, Pb and Cr, was investigated in detail. A considerable influence of Fe(III) (enhancement of up to 50 %) for As(V) and of Fe(III), Cu(II) and Cr(III) (suppression of up to 75%) as well as of Cd(II) and Mn(II) (suppression by up to 25%) for Sb(III) was found to occur, which did not change by more than a factor of 2 in the concentration range of 2-20 μg ml -1 . The microstrip plasma tolerated the introduction of 4.2 ml min -1 of H 2 in the Ar working gas, which corresponded to an H 2 /Ar ratio of 28%. Under these conditions, the excitation temperature as measured with Ar atom lines and the electron number density as determined from the Stark broadening of the H β line was of the order of 5500 K and 1.50 . 10 14 cm -3 , respectively. Detection limits (3σ) of 18 ng ml -1 for As and 31 ng ml -1 for Sb were found and the calibration curves were linear over 2 orders of magnitude. With the procedure developed As and Sb could be determined at the 45 and 6.4 μg ml -1 level in a galvanic bath solution containing 2.5% of NiSO 4 . Additionally, As was determined in a coal fly ash reference material (NIST SRM 1633a) with a certified concentration of As of 145 ± 15 μg g -1 and a value of 144 ± 4 μg g -1 was found

  20. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    KAUST Repository

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J W; Amsalem, Patrick; Koch, Norbert

    2018-01-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron–hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  1. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    Science.gov (United States)

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J. W.; Amsalem, Patrick; Koch, Norbert

    2018-04-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron-hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  2. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    KAUST Repository

    Park, Soohyung

    2018-01-03

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron–hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  3. Existence of a photoinducible phase for ovarian development and photoperiod-related alteration of clock gene expression in a damselfish.

    Science.gov (United States)

    Takeuchi, Yuki; Hada, Noriko; Imamura, Satoshi; Hur, Sung-Pyo; Bouchekioua, Selma; Takemura, Akihiro

    2015-10-01

    The sapphire devil, Chrysiptera cyanea, is a reef-associated damselfish and their ovarian development can be induced by a long photoperiod. In this study, we demonstrated the existence of a photoinducible phase for the photoperiodic ovarian development in the sapphire devil. Induction of ovarian development under night-interruption light schedules and Nanda-Hamner cycles revealed that the photoinducible phase appeared in a circadian manner between ZT12 and ZT13. To characterize the effect of photoperiod on clock gene expression in the brain of this species, we determined the expression levels of the sdPer1, sdPer2, sdCry1, and sdCry2 clock genes under constant light and dark conditions (LL and DD) and photoperiodic (short and long photoperiods). The expression of sdPer1 exhibited clear circadian oscillation under both LL and DD conditions, while sdPer2 and sdCry1 expression levels were lower under DD than under LL conditions and sdCry2 expression was lower under LL than under DD conditions. These results suggest a key role for sdPer1 in circadian clock cycling and that sdPer2, sdCry1, and sdCry2 are light-responsive clock genes in the sapphire devil. After 1 week under a long photoperiod, we observed photoperiod-related changes in sdPer1, sdPer2, and sdCry2 expression, but not in sdCry1 expression. These results suggest that the expression patterns of some clock genes exhibit seasonal variation according to seasonal changes in day length and that such seasonal alteration of clock gene expression may contribute to seasonal recognition by the sapphire devil. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Rise and fall of ferromagnetism in O-irradiated Al2O3 single crystals

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Juping; Liu, Jiandang; Du, Huaijiang; Ye, Bangjiao

    2015-01-01

    In dilute magnetic semiconductors studies, sapphire was usually used as non-magnetic substrate for films. We observed weak ferromagnetic component in Al 2 O 3 single crystal substrate, and excluded the possibility of ferromagnetic contaminations carefully by inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy. The ferromagnetism rise and fall during the process of annealing-oxygen irradiation-annealing of the sapphire. The ferromagnetic changes are consistent with Al-vacancy related defects detected by positron annihilation spectroscopy. With first-principle calculations, we confirm that Al-vacancy can introduce magnetic moment for 3 μB in Al 2 O 3 crystal and form stable V Al -V Al ferromagnetic coupling at room temperature

  5. Femtosecond noncollinear SFG dynamics in autocorrelator setup at low level of photons

    Science.gov (United States)

    Tenishev, Vladimir P.; Persson, A.; Larsson, J.

    2004-06-01

    We report here the characteristics of noncollinear sum frequency generation in nonlinear KDP crystals by ultrashort (80 fsec) IR pulses irradiated by the intense Ti:Sapphire laser and their behavior in single shot auto-crosscorrelator (ACC) configuration. In particular we study the case where one of the beams is very weak. Our aim is to develop a procedure to provide delay time signal between light pulses for time resolved pump probe experiments based on the extraction of the phase-matched SHG spatial distribution by means of pulse shape analysis technique. We intend to apply these results to synchronize a weak short-pulse source and an intense Ti:Sapphire laser and to measure the pulse time jitter between them.

  6. Free Electron Laser Induced Forward Transfer Method of Biomaterial for Marking

    Science.gov (United States)

    Suzuki, Kaoru

    Biomaterial, such as chitosan, poly lactic acid, etc., containing fluorescence agent was deposited onto biology hard tissue, such as teeth, fingernail of dog or cat, or sapphire substrate by free electron laser induced forward transfer method for direct write marking. Spin-coated biomaterial with fluorescence agent of rhodamin-6G or zinc phthalochyamine target on sapphire plate was ablated by free electron laser (resonance absorption wavelength of biomaterial : 3380 nm). The influence of the spin-coating film-forming temperature on hardness and adhesion strength of biomaterial is particularly studied. Effect of resonance excitation of biomaterial target by turning free electron laser was discussed to damage of biomaterial, rhodamin-6G or zinc phtarochyamine for direct write marking

  7. Ultrafast Terahertz Conductivity of Photoexcited Nanocrystalline Silicon

    DEFF Research Database (Denmark)

    Cooke, David; MacDonald, A. Nicole; Hryciw, Aaron

    2007-01-01

    The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described by a class...... in the silicon nanocrystal films is dominated by trapping at the Si/SiO2 interface states, occurring on a 1–100 ps time scale depending on particle size and hydrogen passivation......The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described...

  8. XAFS study on ZnO films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wu Zhihao; Zhou Yinxue; Zhang Xinyi; Fudan Univ., Shanghai; Yu Gencai; Wei Shiqiang; Chen Dongliang

    2004-01-01

    Effects of growth conditions including lattice mismatch and growth temperature on the local structures of ZnO films prepared by MBE have been investigated using fluorescence EXAFS at Zn K edge. The ZnO films were deposited on the Si substrate at 200 degree C and on sapphire substrate at 200 degree C or 300 degree C respectively. The coordination number N in the first shell (number of O atoms immediately surrounding a central Zn atom) remains constant 4 or so for all samples. However, the degree of disorder σ 2 (mean squared displacement) of the local structure is varied with the growth conditions. At the same growth temperature 200 degree C, the degree of disorder is reduced from 0.0080 (Angstrom) 2 to 0.0054 (Angstrom) 2 as the substrate is changed from Si to sapphire; on the same sapphire substrate, the degree of disorder decreases from 0.0054 (Angstrom) 2 to 0.0039 (Angstrom) 2 when the growth temperature is increased from 200 degree C to 300 degree C. Therefore, the higher growth temperature and smaller lattice mismatch can improve the disorder of local structures; the crystal quality of ZnO film will be improved as well . (authors)

  9. Nanoscale formation of new solid-state compounds by topochemical effects: The interfacial reactions ZnO with Al2O3 as a model system

    International Nuclear Information System (INIS)

    Pin, Sonia; Ghigna, Paolo; Spinolo, Giorgio; Quartarone, Eliana; Mustarelli, Piercarlo; D'Acapito, Francesco; Migliori, Andrea; Calestani, Gianluca

    2009-01-01

    The chemical reactivity of thin layers (ca. 10 nm thick) of ZnO deposited onto differently oriented Al 2 O 3 single crystals has been investigated by means of atomic force microscopy inspections and X-ray absorption spectroscopy at the Zn-K edge. The (0001) ZnO -parallel (112-bar0) sapphire interface yields the ZnAl 2 O 4 spinel and a quite stable film morphology. Instead, the (112-bar0) ZnO -parallel (11-bar02) sapphire and (0001) ZnO -parallel (0001) sapphire interfaces give origin to a new compound (or, possibly, even two new compounds), whose chemical nature is most likely that of a ZnO/Al 2 O 3 phase, with still unknown composition and crystal structure. In addition, in the last two cases, films collapse into prismatic twins of ca. 1 μm in dimension. These experimental findings demonstrate that in a solid-state reaction, the topotactical relationships between the reacting solids are of crucial importance not only in determining the kinetic and mechanisms of the process in its early stages, but even the chemical nature of the product. - Graphical abstract: EXAFS Fourier transforms and morphology of different reactive interfaces between ZnO and Al 2 O 3 .

  10. Flip-chip bonded optoelectronic integration based on ultrathin silicon (UTSi) CMOS

    Science.gov (United States)

    Hong, Sunkwang; Ho, Tawei; Zhang, Liping; Sawchuk, Alexander A.

    2003-06-01

    We describe the design and test of flip-chip bonded optoelectronic CMOS devices based on Peregrine Semiconductor's 0.5 micron Ultra-Thin Silicon on sapphire (UTSi) technology. The UTSi process eliminates the substrate leakage that typically results in crosstalk and reduces parasitic capacitance to the substrate, providing many benefits compared to bulk silicon CMOS. The low-loss synthetic sapphire substrate is optically transparent and has a coefficient of thermal expansion suitable for flip-chip bonding of vertical cavity surface emitting lasers (VCSELs) and detectors. We have designed two different UTSi CMOS chips. One contains a flip-chip bonded 1 x 4 photodiode array, a receiver array, a double edge triggered D-flip flop-based 2047-pattern pseudo random bit stream (PRBS) generator and a quadrature-phase LC-voltage controlled oscillator (VCO). The other chip contains a flip-chip bonded 1 x 4 VCSEL array, a driver array based on high-speed low-voltage differential signals (LVDS) and a full-balanced differential LC-VCO. Each VCSEL driver and receiver has individual input and bias voltage adjustments. Each UTSi chip is mounted on different printed circuit boards (PCBs) which have holes with about 1 mm radius for optical output and input paths through the sapphire substrate. We discuss preliminary testing of these chips.

  11. Blood biochemical parameters in male American mink (Neovison vison before and during the breeding season

    Directory of Open Access Journals (Sweden)

    Bogdan Lasota

    2014-05-01

    Full Text Available This study was aimed at selected blood biochemical parameters in the male American mink before (September-November and during the breeding (January-March season. Blood from 143 Black and Sapphire male mink at one year age was collected. The plasma was assayed for the concentration of glucose, total protein, cholesterol, HDL and LDL fractions, triacylglycerides (TG, and the activity of ALT and AST. Concentrations of glucose, protein, total and HDL/LDL cholesterol, and AST activity were generally slightly higher during the breeding season than during the non-breeding season, but remained within the reference range. In the case of ALT activity and TG concentration, the relations were reversed. The parameters studied in the Sapphire mink showed greater variation, both in- and out of the season. In Black and Sapphire males of the American mink, the studied parameters revealed slightly higher values during the breeding season than the non-breeding season. This will vary depending on the color variety. The decrease in TG concentration during the breeding season may indicate an increased energy demand due to ambient temperature falls, and/or may be a sign of increased energy consumption associated with physical exertion during mating. Consideration should be given to the nutrition of male mink during the breeding season.

  12. The influence of different types of fixed orthodontic appliance on the growth and adherence of microorganisms (in vitro study).

    Science.gov (United States)

    Saloom, Hayder F; Mohammed-Salih, Harraa S; Rasheed, Shaymaa F

    2013-02-01

    Orthodontic appliances serve as different impact zones and modify microbial adherence and colonization, acting as foreign reserves and possible sources of infection. This study was conducted to investigate the effect of different types of fixed orthodontic appliances on the growth and adherence of microorganisms in oral flora which are Streptococcus mutans (S. mutans) and Candida albicans. Sixty-four of four different fixed orthodontic appliance-samples were used, divided into four groups of sixteen. Type I: Sapphire brackets- Coated wires, type II: Sapphire brackets- Stainless steel wires, type III: Stainless steel brackets- Coated wires and type IV: Stainless steel brackets- Stainless steel wires. Oral strains of S. mutans and Candida albicans were studied in the present study using biochemical test then microbial suspensions were prepared to do the tests of each microorganism including the antimicrobial effects of different appliance-samples on the growth of microorganisms and their adhesion tests. The results showed significant differences between the different appliances in terms of inhibition zone formation (PAppliance with high esthetic appearance, sapphire brackets and coated arch wire, showed the least adherence of S. mutans and Candida albicans in comparison to other appliances with less esthetic and more metal components. Key words:Orthodontic appliance, Adherence, Streptococcus mutans, Candida albicans.

  13. Synthesis of diamondlike carbon films with superlow friction and wear properties

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A. [Energy Technology Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Eryilmaz, O. L. [Energy Technology Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Fenske, G. [Energy Technology Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2000-07-01

    In this study, we introduce a new diamondlike carbon (DLC) film providing a friction coefficient of 0.001 and wear rates of 10{sup -9}-10{sup -10} mm{sup 3}/N m in inert-gas environments (e.g., dry nitrogen and argon). The film was grown on steel and sapphire substrates in a plasma enhanced chemical vapor deposition system that uses a hydrogen-rich plasma. Employing a combination of surface and structure analytical techniques, we explored the structural chemistry of the resultant DLC films and correlated these findings with the friction and wear mechanisms of the films. The results of tribological tests under a 10 N load (creating initial peak Hertz pressures of 1 and 2.2 GPa on steel and sapphire test pairs, respectively) and at 0.2 to 0.5 m/s sliding velocities indicated that a close correlation exists between the friction and wear coefficients of DLC films and the source gas chemistry. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios had the lowest friction coefficients and the highest wear resistance. The lowest friction coefficient (0.001) was achieved with a film on sapphire substrates produced in a gas discharge plasma consisting of 25% methane and 75% hydrogen. (c) 2000 American Vacuum Society.

  14. Barium diffusion in metallo-organic solution deposited barrier layers and Y1Ba2Cu3O7-x films

    International Nuclear Information System (INIS)

    Lipeles, R.A.; Leung, M.S.; Thiede, D.A.

    1990-01-01

    This paper reports on barium silicate and barium aluminate films that were studied for use as chemical reaction and diffusion barrier layers for Y 1 Ba 2 Cu 3 O 7-x (YBC) deposited on sapphire and fused silica substrates by the sol-gel technique. Depth profiling by secondary ion mass spectrometry (SIMS) was used to characterize the abruptness of the interfaces between the barrier layer and the YBC film as well as the barrier layer and the substrate. The authors found that barium aluminate films reacted with fused silica substrates forming a coarse-grained barium silicate phase. Barium silicate, BaSiO 3 , also reacted with silica substrates forming a broad, amorphous reaction zone containing some BaSi 2 O 5 . Although barium silicate and barium aluminate deposited on sapphire formed a BaAl 12 O 19 phase, they provided a barrier to barium diffusion from sol-gel deposited YBC. Crystalline barium aluminate grown on c-cut sapphire was the most effective barrier layer for the growth of YBC films; compositionally uniform YBC films were made similar to that grown on strontium titanate substrates. These data show that chemically stable, crystalline films are more effective barrier layers than amorphous films

  15. AASERT: Development of Pulse-Burst Laser Source and Digital Image Processing for Measurements of High-Speed, Time-Evolving Flow

    National Research Council Canada - National Science Library

    Miles, Richard

    2000-01-01

    ... Ti:Sapphire Laser and the demonstration of UV filtered Rayleigh scattering imaging in a supersonic jet, the fabrication/ characterization of a narrow passband transmission filter, and the development...

  16. Oxidation/reduction effects on sapphire thermoluminescent detectors

    International Nuclear Information System (INIS)

    Molnar, G.; Borossay, J.; Suevegh, K.; Vertes, A.

    1998-01-01

    Complete text of publication follows. The thermoluminescence (TL) and thermally stimulated exoemission (TSEE) properties of Al 2 O 3 has been extensively studied during the past 40 years. It has been suggested as a dosemeter for both ultraviolet light and ionising radiation. Nevertheless the connection between the structure (point defects) of alumina and thermally stimulated relaxation processes has not yet been clearly explained. In this work α-Al 2 O 3 single crystal samples were studied in order to determine the mechanism of electron-hole processes of thermoluminescence and thermally stimulated exoelectron emission peaks. Single crystals prepared in highly reducing conditions were treated in different atmospheres (reducing/oxidising) and their optical absorption (after isochronous annealing), fluorescence, positron-annihilation and TL properties were investigated. Changes of optical absorption and positron annihilation spectra of oxidised samples suggest that Schottky-type defect formation occurred - the concentration of Al-vacancies increased while that of O-vacancies decreased. Also we observed the oxidation of Ti 3+ to Ti 4+ . The reduction of an oxidised sample led to the diminution of Al-vacancy concentration while that of O-vacancies has not been changed. This suggest a Frenkel-type cationic defect. We also tried to correlate these changes to changes of TL glow curves and to explain the origin of some TL peaks

  17. In-Flight Imaging Systems for Hypervelocity and Re-Entry Vehicles, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — It is proposed to create a rugged, reliable, compact, standardized imaging system for hypervelocity and re-entry vehicles using sapphire windows, small imagers, and...

  18. Noise analysis of a white-light supercontinuum light source for multiple wavelength confocal laser scanning fluorescence microscopy

    Energy Technology Data Exchange (ETDEWEB)

    McConnell, Gail [Centre for Biophotonics, Strathclyde Institute for Biomedical Sciences, University of Strathclyde, 27 Taylor Street, Glasgow, G4 0NR (United Kingdom)

    2005-08-07

    Intensity correlations of a Ti : sapphire, Kr/Ar and a white-light supercontinuum were performed to quantify the typical signal amplitude fluctuations and hence ascertain the comparative output stability of the white-light supercontinuum source for confocal laser scanning microscopy (CLSM). Intensity correlations across a two-pixel sample (n = 1000) of up to 98%, 95% and 94% were measured for the Ti : sapphire, Kr/Ar and white-light supercontinuum source, respectively. The white-light supercontinuum noise level is therefore acceptable for CLSM, with the added advantage of wider wavelength flexibility over traditional CLSM excitation sources. The relatively low-noise white-light supercontinuum was then used to perform multiple wavelength sequential CLSM of guinea pig detrusor to confirm the reliability of the system and to demonstrate system flexibility.

  19. Rise and fall of ferromagnetism in O-irradiated Al{sub 2}O{sub 3} single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Li, Qiang [State Key Laboratory of Particle Detection and Electronics, University of Science and Technology of China, Hefei 230026 (China); China Spallation Neutron Source, Institute of High Energy Physics, Chinese Academy of Sciences, Dongguan 523803 (China); Xu, Juping; Liu, Jiandang; Du, Huaijiang; Ye, Bangjiao, E-mail: bjye@ustc.edu.cn [State Key Laboratory of Particle Detection and Electronics, University of Science and Technology of China, Hefei 230026 (China)

    2015-06-21

    In dilute magnetic semiconductors studies, sapphire was usually used as non-magnetic substrate for films. We observed weak ferromagnetic component in Al{sub 2}O{sub 3} single crystal substrate, and excluded the possibility of ferromagnetic contaminations carefully by inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy. The ferromagnetism rise and fall during the process of annealing-oxygen irradiation-annealing of the sapphire. The ferromagnetic changes are consistent with Al-vacancy related defects detected by positron annihilation spectroscopy. With first-principle calculations, we confirm that Al-vacancy can introduce magnetic moment for 3 μB in Al{sub 2}O{sub 3} crystal and form stable V{sub Al}-V{sub Al} ferromagnetic coupling at room temperature.

  20. Visible continuum pulses based on enhanced dispersive wave generation for endogenous fluorescence imaging.

    Science.gov (United States)

    Cui, Quan; Chen, Zhongyun; Liu, Qian; Zhang, Zhihong; Luo, Qingming; Fu, Ling

    2017-09-01

    In this study, we demonstrate endogenous fluorescence imaging using visible continuum pulses based on 100-fs Ti:sapphire oscillator and a nonlinear photonic crystal fiber. Broadband (500-700 nm) and high-power (150 mW) continuum pulses are generated through enhanced dispersive wave generation by pumping femtosecond pulses at the anomalous dispersion region near zero-dispersion wavelength of high-nonlinear photonic crystal fibers. We also minimize the continuum pulse width by determining the proper fiber length. The visible-wavelength two-photon microscopy produces NADH and tryptophan images of mice tissues simultaneously. Our 500-700 nm continuum pulses support extending nonlinear microscopy to visible wavelength range that is inaccessible to 100-fs Ti:sapphire oscillators and other applications requiring visible laser pulses.

  1. Magnetic neutron diffraction of MnO thin films

    International Nuclear Information System (INIS)

    Neubeck, W.; Vettier, C.; Mannix, D.; Bernhoeft, N.; Hiess, A.; Ranno, L.; Givord, D.

    1999-01-01

    We report on magnetic neutron diffraction carried out on various epitaxial MnO(III) thin films grown on sapphire and MgO substrates. In all samples, of masses between 5 and 50 μg, magnetic Bragg peaks have been observed. The films exhibit what appears to be continuous phase-transitions in contrast to the strongly discontinuous transition exhibited by bulk samples. In addition, the Neel temperature of films prepared on sapphire substrates is strongly enhanced above that of the bulk whilst that of the film grown on MgO is depressed. The possibility to measure magnetic excitations in such thin film systems is discussed in the light of promising test results obtained from an inelastic magnetic neutron scattering experiment on the IN8 spectrometer. (authors)

  2. Radiation Response of Rhombohedral Oxides

    International Nuclear Information System (INIS)

    Devanathan, R.; Weber, W.J.; Mitchell, J.N.; Sickafus, K.E.; Nastasi, M.

    1997-05-01

    The radiation response of three rhombohedral oxides, namely, sapphire (α-Al 2 O 3 ), ilmenite (FeTiO 3 ), and geikielite (MgTiO 3 ), has been examined by irradiating electron transparent samples with 1 MeV Kr(+) and 1.5 MeV Xe(+)ions. The microstructural changes during irradiation were observed in situ in a high-voltage electron microscope using electron diffraction and microscopy. The irradiation conditions were designed to minimize beam heating and chemical effects due to the implanted ion. Of the three oxides studied, ilmenite is the most susceptible to radiation-induced amorphization while sapphire is the least susceptible. In all three materials, the critical temperature for amorphization was below 300 K indicating good room temperature resistance to amorphization by energetic beams

  3. 'J-KAREN' - high intensity, high contrast laser

    International Nuclear Information System (INIS)

    Kiriyama, Hiromitsu; Mori, Michiaki; Nakai, Yoshiki; Okada, Hajime; Sasao, Hajime; Sagisaka, Akito; Ochi, Yoshihiro; Tanaka, Momoko; Kondo, Kiminori; Tateno, Ryo; Sugiyama, Akira; Daido, Hiroyuki; Koike, Masato; Kawanishi, Syunichi; Shimomura, Takuya; Tanoue, Manabu; Wakai, Daisuke; Kondo, Shuji; Kanazawa, Shuhei

    2010-01-01

    We report on the high intensity, high contrast double chirped-pulse amplification (CPA) Ti:sapphire laser system (named J-KAREN). By use of an optical parametric chirped-pulse amplification (OPCPA) preamplifier that is seeded by a cleaned high-energy pulse, a background amplified spontaneous emission (ASE) level of 10 -10 relative to the peak main femtosecond pulse on the picosecond timescales demonstrated with an output energy of 1.7 J and a pulse duration of 30 fs, corresponding to a peak power of 60TW at a 10 Hz repetition rate. This system which uses a cryogenically-cooled Ti:sapphire final amplifier generates focused peak intensity in excess of 10 20 W/cm 2 at a 10 Hz repetition rate. (author)

  4. An electrically reprogrammable 1024 bits MNOS ROM using MNOS-SOS e/d technology

    International Nuclear Information System (INIS)

    Mackowiak, E.; Le Goascoz, V.

    1976-01-01

    A 1024 bits fully decoded electrically writable and erasable non volatile ROM is described. Memory cells and peripheral circuits are made using P channel silicon on sapphire enhancement depletion technology [fr

  5. Monolithic Chip-Integrated Absorption Spectrometer from 3-5 microns, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — A monolithically integrated indium phosphide (InP) to silicon-on-sapphire (SoS) platform is being proposed for a monolithic portable or handheld spectrometer between...

  6. Femtosecond recording of holograms and hologram-like structures in volume recording media

    International Nuclear Information System (INIS)

    Dement'ev, D A; Kompanets, V O; Matveets, Yu A; Serov, O B; Chekalin, Sergei V; Smolovich, A M

    2001-01-01

    Reflection holograms in thick layers of bichromated gelatin are recorded by using 50-fs pulses of the second harmonic of a Ti:sapphire laser. (laser applications and other topics in quantum electronics)

  7. Retentive Shear Strengths of Various Bonding Attachment Bases: An in vitro Study

    Directory of Open Access Journals (Sweden)

    Abhishek Goyal

    2013-01-01

    Conclusion: Gemini brackets provided the best bond strengths clinically, followed by Mini Diagonali, Nu-Edge, Mini Twin, Mini Diamond and the Sapphire brackets in decreasing order as measured using the Weibull analysis.

  8. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  9. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  10. Stress release during cyclic loading of 20 nm palladium films

    International Nuclear Information System (INIS)

    Lukáč, František; Vlček, Marián; Vlach, Martin; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Bell, Anthony; Čížek, Jakub

    2015-01-01

    Highlights: • Repeated hydrogenation of 20 nm Pd films was investigated by in situ X-ray diffraction. • Hydride precipitates form coherent interfaces with matrix in nanocrystalline and epitaxial thin films. • Grain boundaries affect precipitation of the hydride phase in the nanocrystalline film. • Stress in epitaxial film is tensile due to different thermal expansion of Pd and sapphire. • After hydrogen absorption/desorption cycle the stress in both films becomes tensile. - Abstract: Gas phase loading of nanocrystalline and epitaxial 20 nm Pd films deposited on single crystalline sapphire substrates was studied in this work. The nanocrystalline film was deposited at room temperature and the epitaxial film deposited at 800 °C. The nanocrystalline film suffers from in-plane compressive stress imposed by atomic peening processes. The epitaxial film exhibits tensile stress caused by the different thermal expansion coefficients of Pd and sapphire substrate. Coherent phase transition into the hydride phase was observed both for the nanocrystalline and for the epitaxial film. For both films, the lattice parameters continuously increase during the phase transition to the hydride phase. Both films exhibit enhanced hydride formation pressure compared to bulk Pd. Misfit dislocations are formed at interface between Pd film and substrate during hydrogenation. This leads to irreversible change of stress state of the films subjected to sorption and desorption cycle with hydrogen

  11. Near IR Scanning Angle Total Internal Reflection Raman Spectroscopy at Smooth Gold Films

    Energy Technology Data Exchange (ETDEWEB)

    McKee, Kristopher; Meyer, Matthew; Smith, Emily

    2012-04-13

    Total internal reflection (TIR) Raman and reflectivity spectra were collected for nonresonant analytes as a function of incident angle at sapphire or sapphire/smooth 50 nm gold interfaces using 785 nm excitation. For both interfaces, the Raman signal as a function of incident angle is well-modeled by the calculated interfacial mean square electric field (MSEF) relative to the incident field times the thickness of the layer being probed in the Raman measurement (D{sub RS}). The Raman scatter was reproducibly enhanced at the interface containing a gold film relative to the sapphire interface by a factor of 4.3–4.6 for aqueous pyridine or 2.2–3.7 for neat nitrobenzene, depending on the analyzed vibrational mode. The mechanism for the increased Raman signal is the enhanced MSEF at incident angles where propagating surface plasmons are excited in the metal film. The background from the TIR prism was reduced by 89–95% with the addition of the gold film, and the percent relative uncertainty in peak area was reduced from 15 to 1.7% for the 1347 cm–1 mode of nitrobenzene. Single monolayers of benzenethiol (S/N = 6.8) and 4-mercaptopyridine (S/N = 16.5) on gold films were measured by TIR Raman spectroscopy with 785 nm excitation (210 mW) without resonant enhancement in 1 min.

  12. Optical amplification in photonic integrated circuits

    NARCIS (Netherlands)

    Pollnau, Markus

    The recent results in the field of fabrication, characterization, and applications of optical waveguides in doped hard crystalline materials, specifically in Ti-doped sapphire and Yb-doped $KY(WO_4)_2$, are reviewed.

  13. Radiation damage in diagnostic windows for the TFTR

    International Nuclear Information System (INIS)

    Primak, W.

    1979-01-01

    Radiation effects in diagnostic window materials are being estimated and additional experimental data on the radiation behavior are being sought. The materials considered thus far are vitreous silica, crystal quartz, and synthetic sapphire

  14. An in vivo study of the biocompatibility of classic and novel device materials on the central nervous system

    Science.gov (United States)

    Jaboro, Claudine

    2007-12-01

    Investigation of novel biomaterials is an essential part of the development of electrical stimulation and chemical drug delivery for biomedical applications. In evaluating biocompatibility, the material's surface and the tissue should both be analyzed to determine their interaction during neural exposure. This includes a material investigation of bulk sapphire substrate, platinum (Pt) deposited on sapphire substrate using magnetron sputtering and aluminum nitride (AlN) which was deposited on sapphire substrate using plasma source molecular beam epitaxy (PSMBE). Zinc titania coverslip glass and borosilicate glass were used as control materials. The materials were implanted for periods of 10, 28 and 90 days on the cortical surface of the brain in a rat animal model. The chronic implants were analyzed both pre- and post- implantation for device structure/tissue interactions down to the atomic level. The characterization techniques used to explore structural and chemical changes on or within the material included optical microscopy, atomic force microscopy (AFM) and x-ray photoelectron spectroscopy (XPS). Magnetic Resonance Imaging (MRI) and histology were used to determine the effects of the implants in vivo. Biocompatibility is the ability of a material or device to be exposed to the dynamic environment of the body and elicit little or no adverse effects. The data suggests that the biocompatibility of a material may be directly associated with structure and topology. The sapphire, zinc titania coverslip glass and platinum all had signs of bio-incompatibility. The aluminum nitride and borosilicate glass materials were both biocompatible based on our studies. The borosilicate glass elicited no response from the tissue while the aluminum nitride had a positive affect on the tissue encouraging the attachment of proteins and tissue without glial scars instigation. The material characterization, MR imaging and histological data show that surface features such as roughness

  15. A route to transparent bulk metals

    KAUST Repository

    Schwingenschlö gl, Udo; Schuster, Cosima B.; Fré sard, Raymond

    2012-01-01

    Hypothetical compounds based on a sapphire host are investigated with respect to their structural as well as electronic features. The results are obtained by electronic structure calculations within density functional theory and the generalized

  16. Transparent Yttria for IR Windows and Domes - Past and Present

    National Research Council Canada - National Science Library

    Hogan, Patrick; Stefanik, Todd; Willingham, Charles; Gentilman, Richard

    2004-01-01

    ... (sapphire, ALON, spinel), its thermal shock performance is similar. In fact, 7 out of 7 flat yttria windows were successfully wind-tunnel tested under hypersonic conditions simulating representative surface-to-air interceptor missile flights...

  17. Comparing Single-Point and Multi-point Calibration Methods in Modulated DSC

    Energy Technology Data Exchange (ETDEWEB)

    Van Buskirk, Caleb Griffith [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-06-14

    Heat capacity measurements for High Density Polyethylene (HDPE) and Ultra-high Molecular Weight Polyethylene (UHMWPE) were performed using Modulated Differential Scanning Calorimetry (mDSC) over a wide temperature range, -70 to 115 °C, with a TA Instruments Q2000 mDSC. The default calibration method for this instrument involves measuring the heat capacity of a sapphire standard at a single temperature near the middle of the temperature range of interest. However, this method often fails for temperature ranges that exceed a 50 °C interval, likely because of drift or non-linearity in the instrument's heat capacity readings over time or over the temperature range. Therefore, in this study a method was developed to calibrate the instrument using multiple temperatures and the same sapphire standard.

  18. AlGaN-based deep-ultraviolet light-emitting diodes grown on high-quality AlN template using MOVPE

    KAUST Repository

    Yan, Jianchang; Wang, Junxi; Zhang, Yun; Cong, Peipei; Sun, Lili; Tian, Yingdong; Zhao, Chao; Li, Jinmin

    2015-01-01

    In this article, we report the growth of high-quality AlN film using metal-organic vapor phase epitaxy. Three layers of middle-temperature (MT) AlN were introduced during the high-temperature (HT) AlN growth. During the MT-AlN layer growth, aluminum and nitrogen sources were closed for 6 seconds after every 5-nm MT-AlN, while H2 carrier gas was always on. The threading dislocation density in an AlN epi-layer on a sapphire substrate was reduced by almost half. AlGaN-based deep-ultraviolet light-emitting diodes were further fabricated based on the AlN/sapphire template. At 20 mA driving current, the emitted peak wavelength is 284.5 nm and the light output power exceeds 3 mW.

  19. The impact of ScO{sub x}N{sub y} interlayers on unintentional doping and threading dislocations in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, T; Moram, M A; Rao, D V Sridhara; Li, H; Kappers, M J; Oliver, R A, E-mail: tz234@cam.ac.u [Department of Materials Science and Metallurgy, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2010-02-01

    To reduce the threading dislocation density in (0001) GaN grown on c-plane sapphire, a series of samples have been grown using scandium oxynitride (ScO{sub x}N{sub y}) interlayers (ILs) on AlN-on-sapphire templates. Scanning capacitance microscopy (SCM) has been employed to investigate the unintentional doping in GaN with varying ScO{sub x}N{sub y} IL thicknesses. The use of ScO{sub x}N{sub y} ILs decreases the threading dislocation density. An unintentionally n-doped layer has been identified by SCM close to the GaN/ScO{sub x}N{sub y} interface. The average width of this conductive layer has been quantified and found to increase as the ScO{sub x}N{sub y} IL thickness increases up to 13 nm.

  20. Transient Radiation Darkening Features in VISAR Window materials

    International Nuclear Information System (INIS)

    Stevens, G.D.; Moy, K.J.

    2001-01-01

    We have studied and characterized radiation-induced changes in the index of refraction of materials used in Z experiments. Interferometric measurements of the radiation-induced change in the real part, n, of the complex index of refraction, N = n + iK, have been made in lithium fluoride (LiF), sapphire, and fused silica samples. Our results indicate that the index changes are small, with δn/n ∼ 1 x 10 -5 /kGy. In addition, we have characterized the dose dependence of the radiation-induced transient radiation darkening (TRD) of these materials, which is related to K, the imaginary part of the refractive index. We have also measured time-resolved spectral profiles of TRD in LiF and sapphire, and have examined the results in terms of known color centers and possible colloid aggregation