WorldWideScience

Sample records for sandostatin resistant vipoma

  1. Pharmacokinetic and technical comparison of Sandostatin® LAR® and other formulations of long-acting octreotide

    Directory of Open Access Journals (Sweden)

    Bizec Jean-Claude

    2011-09-01

    Full Text Available Abstract Background Sandostatin® LAR® (Novartis Pharma AG is a long-acting repeatable formulation of the somatostatin analogue octreotide, the safety and efficacy of which has been established through 15 years of clinical experience. Recently, other formulations of octreotide using polymer poly(lactic-co-glycolic acid technology have been developed. This study compares the composition and pharmacokinetic (PK profile of Sandostatin LAR with three other versions of the depot delivery system (formulations A, B and C, available in selected countries. Findings Sandostatin LAR exhibited a characteristic concentration-time profile with a limited initial release of octreotide ('burst', an erosion phase from weeks 3-5, and a slowly declining concentration to day 52. The PK profiles of formulations A and B were characterized by a large initial burst during days 0-2, with up to 41% of the overall area under the plasma-concentration time curve achieved. Low and variable octreotide concentrations were observed during the microparticle erosion phase (days 2-62 [day 82 formulation C] for formulations A, B and C. Sandostatin LAR microparticles are spherical in shape with an average diameter of approximately 50 μm, determined by scanning electron microscopy evaluation. Formulation A had smaller, irregular microparticles, and formulations B and C exhibited a large range of particle diameters ( 100 μm. Inductively coupled plasma-optical emission spectroscopy detected a high tin content of 104 mg/kg in formulation B, the presence of which may suggest inadequate purification following polymer synthesis using tin(II-octoate as catalyst. PK profiles for formulations A, B and C after a single intramuscular injection of 4 mg/kg in male New Zealand rabbits differed markedly from the PK profile of Sandostatin LAR. Conclusions Clear differences were seen between Sandostatin LAR and formulations A, B and C, including variations in microparticle size, shape and impurity

  2. Pre-treatment and follow-up examinations of carcinoid metastases using indium-111-octreotide - rational application of Sandostatin

    International Nuclear Information System (INIS)

    Lipp, R.W.; Hammer, H.F.; Passath, A.; Dobnig, H.; Ramschak-Schwarzer, S.; Stiegler, C.; Leb, G.; Krejs, G.J.

    1993-01-01

    Carcinoids may express somatostatin receptors. Therefore, a somatostatin-analogue, In-111 octreotide (OctreoScan), was used for their demonstration. A total of 6 patients who presented radiologically verified carcinoid-metastases was examined. In order to control tumor progress, 4 of these patients were reexamined within a period of 3 to 11 months. All of the radiological findings were confirmed scintigraphically, except some small retroperitoneally located lymph nodes. The follow-up examinations of 2 patients revealed additional metastases by scintigraphy only. There were no false positive results. These results of OctreoScan-scintigraphy may be used for predicting the success of receptor-specific therapies and therefore, permit the rational and efficient application of Sandostatin. Note: Sandostatin and OctreoScan are registered trademarks. (authors)

  3. EFFICIENCY OF THE ACROMEGALIC PATIENTS’ TREATMENT WITH DIFFERENT DOSES OF SANDOSTATIN LAR IN MOSCOW REGION

    Directory of Open Access Journals (Sweden)

    A. V. Dreval’

    2014-01-01

    Full Text Available Background: Somatostatin analogues therapy is an important part of the acromegalic patients’ treatment. Aim: Assessment of treatment efficiency for patients with acromegaly using different doses of somatostatin analogues. Materials and methods: The data of 128 acromegaly patients registered in Moscow Region were analyzed, 79 (61.7% of them were treated with somatostatin analogues. The treatment was started with a dose of 20 mg. If the target levels of growth hormone (GH and type 1 insulin-like growth factor (IGF-1 were not achieved within 6-12 months, the dose was increased to 30 mg, and then to 40 mg. If GH and IGF-1 levels fell under the target values, the dose was decreased to 10 mg. The rate of achievement of optimal GH and IGF-1 levels was analyzed depending on the somatostatin analogue doses used. Results: The percentage of the acromegalic patients who were under the first and the second lines of drug therapy, was almost similar:  55.7 and 44.3%, respectively. Sandostatin LAR in dose of 10 mg was given to 4 (5.1% of 79 patients, 20 mg – to 33 (41.8%, 30 mg – to 11 (13.9%, and 40 mg – to 31 (39.2% patients. The target levels of GH and IGF-1 were achieved in 57.6, 54.5, and 32.2% of patients, who received preparation in doses 20, 30, and 40 mg, respectively. Achievement of, at least, one planned criterium (GH or IGF-1 was additionally noted in 10 of 33 (30.3%, 4 of 11 (36.2%, and 9 of 31 (29% patients within these study groups. The rate of side effects didn’t increase with the raising of оctreotide dose. Conclusion: Application of long-acting release octreotide (Sandostatin-LAR in doses of 30 and 40 mg is safe and allows to increase percentage of acromegalic patients who achieve a biochemical control over acromegaly.

  4. Laser Tissue Welding - Distal Pancreatectomy Sealing Study

    Science.gov (United States)

    2018-04-20

    Pancreatic Tumor, Benign; Pancreatic Neoplasms; Pancreatic Adenocarcinoma; Pancreatic Pseudocyst; Pancreatic Neuroendocrine Tumor; Pancreas; Insulinoma; Pancreatic Cyst; Pancreatic Teratoma; Pancreatic Polypeptide Tumor; Pancreatic Vipoma; Pancreatic Cystadenoma; Pancreas Injury; Pancreatic Gastrinoma; Pancreatic Glucagonoma

  5. Mujer joven con diarrea crónica

    Directory of Open Access Journals (Sweden)

    María Vares González

    2013-12-01

    Chronic diarrhea is frequent. The causes are multiple, so reaching the definitive diagnosis can be complex. The diagnostic delay can be deadly. We describe the case of a young woman who developed severe hypokalemia secondary to VIPoma, neuroendocrine tumor secreting vasoactive intestinal peptide, a hormone responsible for the clinical: watery diarrhea, hypokalemia and achlorhydria

  6. Chronic diarrhea as presenting symptom for a metastasic neuroendocrine tumor

    International Nuclear Information System (INIS)

    Hani A, Albis Cecilia; Garcia A, Jairo Alberto

    2007-01-01

    We describe the clinical case of a 74 years old female patient presenting with a watery diarrhea syndrome, having severe hypokalaemia and liver metastases. In her necropsy a pancreatic neuroendocrine tumor was found. We present a literature review about pancreas neuroendocrine tumours, focusing in the VIPoma, which may correspond with the clinical features of this particular patient

  7. Neuroendocrine tumors of the pancreas.

    LENUS (Irish Health Repository)

    Davies, Karen

    2009-04-01

    Pancreatic endocrine tumors are rare neoplasms accounting for less than 5% of pancreatic malignancies. They are broadly classified into either functioning tumors (insulinomas, gastrinomas, glucagonomas, VIPomas, and somatostatinomas) or nonfunctioning tumors. The diagnosis of these tumors is difficult and requires a careful history and examination combined with laboratory tests and radiologic imaging. Signs and symptoms are usually related to hormone hypersecretion in the case of functioning tumors and to tumor size or metastases with nonfunctioning tumors. Surgical resection remains the treatment of choice even in the face of metastatic disease. Further development of novel diagnostic and treatment modalities offers potential to greatly improve quality of life and prolong disease-free survival for patients with pancreatic endocrine tumors.

  8. Neuroendocrine tumors of the pancreas.

    LENUS (Irish Health Repository)

    Davies, Karen

    2012-02-01

    Pancreatic endocrine tumors are rare neoplasms accounting for less than 5% of pancreatic malignancies. They are broadly classified into either functioning tumors (insulinomas, gastrinomas, glucagonomas, VIPomas, and somatostatinomas) or nonfunctioning tumors. The diagnosis of these tumors is difficult and requires a careful history and examination combined with laboratory tests and radiologic imaging. Signs and symptoms are usually related to hormone hypersecretion in the case of functioning tumors and to tumor size or metastases with nonfunctioning tumors. Surgical resection remains the treatment of choice even in the face of metastatic disease. Further development of novel diagnostic and treatment modalities offers potential to greatly improve quality of life and prolong disease-free survival for patients with pancreatic endocrine tumors.

  9. Effects of Sandostatin LAR on gastrointestinal motility in patients with neuroendocrine tumors.

    Science.gov (United States)

    Gregersen, Tine; Grønbæk, Henning; Worsøe, Jonas; Schlageter, Vincent; Laurberg, Søren; Krogh, Klaus

    2011-07-01

    Diarrhea is part of the carcinoid syndrome and a significant clinical problem in neuroendocrine tumor (NET) patients. Somatostatin analog (SA) treatment usually alleviates carcinoid diarrhea, but little is known about the objective effects of SA on gastrointestinal transport. To compare gastrointestinal motility in healthy subjects and NET patients before and during SA treatment. Twelve NET patients were studied before and during 4 weeks of SA treatment and were compared with 12 healthy controls. Radio-opaque markers were used for the assessment of total gastrointestinal transit time (GITT). Gastric and small intestinal (SI) transit patterns were described via the external tracking of a small magnetic pill ingested by the subjects. Compared with controls, NET patients had a significantly shorter GITT (0.7 days (0.5-1.5) vs. 1.9 days (1.0-2.3)), a shorter SI transit time (184 min (74-307) vs. 322 min (131-376)), and a faster SI velocity (2.16 cm/min (0.91-3.66) vs. 1.29 cm/min (0.76-2.60)) (all p < 0.05) but a similar gastric emptying time. SA treatment was followed by a reduction in bowel movements (five per day (3-12) vs. four per day (1-7; p < 0.02)) as well as an increase in GITT (1.4 days (0.5-2.2; p < 0.05)). Further, a trend was observed toward increased SI transit time (253 min (145-344; p = 0.08)). Gastric emptying time increased during SA treatment (19 min (4-200) vs. 179 min (5-389; p < 0.02)). Elevated chromogranin A (CgA), serotonin, and urinary 5-hydroxyindoleacetic acid (U-5HIAA) levels decreased during SA treatment. NET patients have faster than normal total GITT and SI transit times. SA treatment prolongs gastric emptying and GITT, thereby reducing the number of bowel movements.

  10. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    Science.gov (United States)

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  11. A resistência olha a resistência

    Directory of Open Access Journals (Sweden)

    Jorge Ponciano Ribeiro

    Full Text Available Resistência é um processo humano que acontece quando a pessoa se encontra sob algum tipo de ameaça. Não é essencialmente um acontecimento psicoterapêutico. Ocorre na terapia não como uma oposição a si mesmo ou ao terapeuta, mas como uma forma de se ajustar a uma nova situação. A resistência, é por natureza, a atualização do instinto de auto-preservação. E o organismo inteligentemente segue a lei da preferência. Resistência é uma forma de contato que não pode ser destruída, mas administrada, porque ela surge como uma defesa da totalidade vivenciada pela pessoa. A Resistência é, às vezes, resistência e awareness mais que ao contato. Ela revela mais o caminho seguido do que oculta a caminhada feita. A resistência é um processo natural, porque o corpo que não resiste, morre, mas falamos em processos de auto-regulação organísmica. Valorizamos mais o que mantêm a resistência funcionando do que à própria resistência. O terapeuta também resiste, ou seja, ele se auto-regula na sua relação com o cliente. Não questionamos a resistência, mas o processo que a mantêm. Trabalhamos com nove mecanismos de defesa, também tradicionalmente, chamados de resistência.

  12. Measurement of Dynamic Resistance in Resistance Spot Welding

    DEFF Research Database (Denmark)

    Wu, Pei; Zhang, Wenqi; Bay, Niels

    Through years, the dynamic resistance across the electrodes has been used for weld quality estimation and contact resistance measurement. However, the previous methods of determining the dynamic resistance were mostly based on measuring the voltage and current on the secondary side of the transfo......Through years, the dynamic resistance across the electrodes has been used for weld quality estimation and contact resistance measurement. However, the previous methods of determining the dynamic resistance were mostly based on measuring the voltage and current on the secondary side...... of the transformer in resistance welding machines, implying defects from induction noise and interference with the leads connected to the electrodes for measuring the voltage. In this study, the dynamic resistance is determined by measuring the voltage on the primary side and the current on the secondary side...

  13. Induced resistance: an enhancement of basal resistance?

    NARCIS (Netherlands)

    Vos, M. de; Robben, C.; Pelt, J.A. van; Loon, L.C. van; Pieterse, C.M.J.

    2002-01-01

    Upon primary pathogen attack, plants activate resistance mechanisms at the site of infection. Besides this so-called basal resistance, plants have also the ability to enhance their defensive capacity against future pathogen attack. There are at least two types of biologically induced resistance.

  14. HIV resistance testing and detected drug resistance in Europe

    DEFF Research Database (Denmark)

    Schultze, Anna; Phillips, Andrew N; Paredes, Roger

    2015-01-01

    to Southern Europe. CONCLUSIONS: Despite a concurrent decline in virological failure and testing, drug resistance was commonly detected. This suggests a selective approach to resistance testing. The regional differences identified indicate that policy aiming to minimize the emergence of resistance......OBJECTIVES: To describe regional differences and trends in resistance testing among individuals experiencing virological failure and the prevalence of detected resistance among those individuals who had a genotypic resistance test done following virological failure. DESIGN: Multinational cohort...... study. METHODS: Individuals in EuroSIDA with virological failure (>1 RNA measurement >500 on ART after >6 months on ART) after 1997 were included. Adjusted odds ratios (aORs) for resistance testing following virological failure and aORs for the detection of resistance among those who had a test were...

  15. Inheritance of Cry1F resistance, cross-resistance and frequency of resistant alleles in Spodoptera frugiperda (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Vélez, A M; Spencer, T A; Alves, A P; Moellenbeck, D; Meagher, R L; Chirakkal, H; Siegfried, B D

    2013-12-01

    Transgenic maize, Zea maize L., expressing the Cry1F protein from Bacillus thuringiensis has been registered for Spodoptera frugiperda (J. E. Smith) control since 2003. Unexpected damage to Cry1F maize was reported in 2006 in Puerto Rico and Cry1F resistance in S. frugiperda was documented. The inheritance of Cry1F resistance was characterized in a S. frugiperda resistant strain originating from Puerto Rico, which displayed >289-fold resistance to purified Cry1F. Concentration-response bioassays of reciprocal crosses of resistant and susceptible parental populations indicated that resistance is recessive and autosomal. Bioassays of the backcross of the F1 generation crossed with the resistant parental strain suggest that a single locus is responsible for resistance. In addition, cross-resistance to Cry1Aa, Cry1Ab, Cry1Ac, Cry1Ba, Cry2Aa and Vip3Aa was assessed in the Cry1F-resistant strain. There was no significant cross-resistance to Cry1Aa, Cry1Ba and Cry2Aa, although only limited effects were observed in the susceptible strain. Vip3Aa was highly effective against susceptible and resistant insects indicating no cross-resistance with Cry1F. In contrast, low levels of cross-resistance were observed for both Cry1Ab and Cry1Ac. Because the resistance is recessive and conferred by a single locus, an F1 screening assay was used to measure the frequency of Cry1F-resistant alleles from populations of Florida and Texas in 2010 and 2011. A total frequency of resistant alleles of 0.13 and 0.02 was found for Florida and Texas populations, respectively, indicating resistant alleles could be found in US populations, although there have been no reports of reduced efficacy of Cry1F-expressing plants.

  16. Adriamycin resistance, heat resistance and radiation response in Chinese hamster fibroblasts

    International Nuclear Information System (INIS)

    Wallner, K.; Li, G.

    1985-01-01

    Previous investigators have demonstrated synergistic interaction between hyperthermia and radiation or Adriamycin (ADR), using cell lines that are sensitive to heat or ADR alone. The authors investigated the effect of heat, radiation or ADR on Chinese hamster fibroblasts (HA-1), their heat resistant variants and their ADR resistant variants. Heat for ADR resistance did not confer cross resistance to radiation. Cells resistant to heat did show cross resistance to ADR. While cells selected for ADR resistance were not cross resistant to heat, they did not exhibit drug potentiation by hyperthermia, characteristic of ADR sensitive cells. Cytofluorometric measurement showed decreased ADR uptake in both heat and ADR resistant cells. The possibility of cross resistance between heat and ADR should be considered when designing combined modality trials

  17. Outwitting the series resistance in scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Schulze, A.; Cao, R.; Eyben, P.; Hantschel, T.; Vandervorst, W.

    2016-01-01

    The performance of nanoelectronics devices critically depends on the distribution of active dopants inside these structures. For this reason, dopant profiling has been defined as one of the major metrology challenges by the international technology roadmap of semiconductors. Scanning spreading resistance microscopy (SSRM) has evolved as one of the most viable approaches over the last decade due to its excellent spatial resolution, sensitivity and quantification accuracy. However, in case of advanced device architectures like fins and nanowires a proper measurement of the spreading resistance is often hampered by the increasing impact of parasitic series resistances (e.g. bulk series resistance) arising from the confined nature of the aforementioned structures. In order to overcome this limitation we report in this paper the development and implementation of a novel SSRM mode (fast Fourier transform-SSRM: FFT-SSRM) which essentially decouples the spreading resistance from parasitic series resistance components. We show that this can be achieved by a force modulation (leading to a modulated spreading resistance signal) in combination with a lock-in deconvolution concept. In this paper we first introduce the principle of operation of the technique. We discuss in detail the underlying physical mechanisms as well as the technical implementation on a state-of-the-art atomic force microscope (AFM). We demonstrate the performance of FFT-SSRM and its ability to remove substantial series resistance components in practice. Eventually, the possibility of decoupling the spreading resistance from the intrinsic probe resistance will be demonstrated and discussed. - Highlights: • A novel electrical AFM mode for carrier profiling in confined volumes is presented. • Thereby the force and hence the contact area between AFM probe and sample is modulated. • Information on the spreading resistance is derived using a lock-in approach. • Bulk series resistance components are

  18. Novel resistance functions uncovered using functional metagenomic investigations of resistance reservoirs

    Directory of Open Access Journals (Sweden)

    Erica C. Pehrsson

    2013-06-01

    Full Text Available Rates of infection with antibiotic-resistant bacteria have increased precipitously over the past several decades, with far-reaching healthcare and societal costs. Recent evidence has established a link between antibiotic resistance genes in human pathogens and those found in non-pathogenic, commensal, and environmental organisms, prompting deeper investigation of natural and human-associated reservoirs of antibiotic resistance. Functional metagenomic selections, in which shotgun-cloned DNA fragments are selected for their ability to confer survival to an indicator host, have been increasingly applied to the characterization of many antibiotic resistance reservoirs. These experiments have demonstrated that antibiotic resistance genes are highly diverse and widely distributed, many times bearing little to no similarity to known sequences. Through unbiased selections for survival to antibiotic exposure, functional metagenomics can improve annotations by reducing the discovery of false-positive resistance and by allowing for the identification of previously unrecognizable resistance genes. In this review, we summarize the novel resistance functions uncovered using functional metagenomic investigations of natural and human-impacted resistance reservoirs. Examples of novel antibiotic resistance genes include those highly divergent from known sequences, those for which sequence is entirely unable to predict resistance function, bifunctional resistance genes, and those with unconventional, atypical resistance mechanisms. Overcoming antibiotic resistance in the clinic will require a better understanding of existing resistance reservoirs and the dissemination networks that govern horizontal gene exchange, informing best practices to limit the spread of resistance-conferring genes to human pathogens.

  19. A study on heat resistance of high temperature resistant coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu [Research Institute of Engineering Technology of CNPC, Tianjin (China)

    2005-04-15

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  20. A study on heat resistance of high temperature resistant coating

    International Nuclear Information System (INIS)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu

    2005-01-01

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  1. Detection of resistance, cross-resistance, and stability of resistance to new chemistry insecticides in Bemisia tabaci (Homoptera: Aleyrodidae).

    Science.gov (United States)

    Basit, Muhammad; Saeed, Shafqat; Saleem, Mushtaq Ahmad; Denholm, Ian; Shah, Maqbool

    2013-06-01

    Resistance levels in whitefly, Bemisia tabaci (Gennadius) collections from cotton and sunflower (up to four districts) for five neonicotinoids and two insect growth regulators (IGRs) were investigated for two consecutive years. Based on the LC50(s), all collections showed slight to moderate levels of resistance for the tested insecticides compared with the laboratory susceptible population. The data also indicated that cotton and sunflower collections had similar resistance levels. In comparison (four collections), Vehari collections showed higher resistance for acetamiprid, thiacloprid, and nitenpyram compared with those of others. Average resistance ratios for acetamiprid, thiacloprid, and nitenpyram ranged from 5- to 13-, 4- to 8-, and 9- to 13-fold, respectively. Multan and Vehari collections also exhibited moderate levels (9- to 16-fold) of resistance to buprofezin. Furthermore, toxicity of neonicotinoids against immature stages was equal to that of insect growth regulators. The data also suggested that resistance in the field populations was stable. After selection for four generations with bifenthrin (G1 to G4), resistance to bifenthrin increased to 14-fold compared with the laboratory susceptible population. Selection also increased resistance to fenpropathrin, lambdacyhalothrin, imidacloprid, acetamiprid, and diafenthuron. Cross-resistance and stability of resistance in the field populations is of some concern. Rotation of insecticides having no cross-resistance and targeting the control against immature stages may control the resistant insects, simultaneously reducing the selection pressure imposed.

  2. Resistant plasmid profile analysis of multidrug resistant Escherichia ...

    African Journals Online (AJOL)

    Background: Multi-drug resistant Escherichia coli has become a major threat and cause of many urinary tract infections (UTIs) in Abeokuta, Nigeria. Objectives: This study was carried out to determine the resistant plasmids of multidrug resistant Escherichia coli isolated from (Urinary tract infections)UTIs in Abeokuta.

  3. Resistant plasmid profile analysis of multidrug resistant Escherichia ...

    African Journals Online (AJOL)

    Multiple drug resistance isolates causing UTI has seri- ous implications for the empiric therapy against patho- genic isolates and for the possible co-selection of antimicrobial resistant mediated by multi drug resistant plasmids21,22. E. coli from clinical isolates are known to harbour plasmids of different molecular sizes23.

  4. Resistance to Linezolid

    DEFF Research Database (Denmark)

    Vester, Birte; Ntokou, Eleni

    2017-01-01

    Linezolid is an antimicrobial agent that binds to the bacterial ribosome and thereby inhibits protein synthesis. Soon after its release as a clinical drug, it became clear that bacteria could become resistant to linezolid. The resistance mechanisms are mainly causing alteration of the drug target...... site, but probably efflux might also play a role. The resistance is still rare in surveillance studies, but outbreaks of resistant clones from hospitals have been observed. So far the main mechanisms of resistance are occurrence of mutations in ribosomal genes or obtaining plasmids with a gene coding...... for a methyltransferase providing resistance. The most obvious way to avoid resistance may be development of derivatives of linezolid overcoming the known resistance mechanisms....

  5. Low-level quinolone-resistance in multi-drug resistant typhoid

    Energy Technology Data Exchange (ETDEWEB)

    Mirza, S H; Khan, M A [Armed Forces Inst. of Pathology, Rawalpindi (Pakistan). Dept. of Microbiolgy

    2008-01-15

    To find out the frequency of low-level quinolone-resistance in Multi-Drug Resistant (MDR) typhoid using nalidixic acid screening disc. Blood was obtained from suspected cases of typhoid fever and cultured in to BacT/ALERT. The positive blood cultures bottles were subcultured. The isolates were identified by colony morphology and biochemical tests using API-20E galleries. Susceptibility testing of isolates was done by modified Kirby-Bauer disc diffusion method on Muellar Hinton Agar. For the isolates, which were resistant to nalidixic acid by disc diffusion method, Minimal Inhibitory Concentrations (MICs) of ciprofloxacin and nalidixic acid were determined by using the E-test strips. Disc diffusion susceptibility tests and MICs were interpreted according to the guidelines provided by National Committee for Control Laboratory Standard (NCCLS). A total of 21(65.5%) out of 32 isolates of Salmonellae were nalidixic acid-resistant by disk diffusion method. All the nalidixic acid-resistant isolates by disc diffusion method were confirmed by MICs for both ciprofloxacin and nalidixic acid. All the nalidixic acid-resistant isolates had a ciprofloxacin MIC of 0.25-1 microg/ml (reduced susceptibility) and nalidixic acid MICs > 32 microg (resistant). Out of all Salmonella isolates, 24 (75%) were found to be MDR, and all were S. typbi. Low-level quinolone-resistance in typhoid was high in this small series. Screening for nalidixic acid resistance with a 30 microg nalidixic acid disk is a reliable and cost-effective method to detect low-level fluoroquinolone resistance, especially in the developing countries. (author)

  6. Low-level quinolone-resistance in multi-drug resistant typhoid

    International Nuclear Information System (INIS)

    Mirza, S.H.; Khan, M.A.

    2008-01-01

    To find out the frequency of low-level quinolone-resistance in Multi-Drug Resistant (MDR) typhoid using nalidixic acid screening disc. Blood was obtained from suspected cases of typhoid fever and cultured in to BacT/ALERT. The positive blood cultures bottles were subcultured. The isolates were identified by colony morphology and biochemical tests using API-20E galleries. Susceptibility testing of isolates was done by modified Kirby-Bauer disc diffusion method on Muellar Hinton Agar. For the isolates, which were resistant to nalidixic acid by disc diffusion method, Minimal Inhibitory Concentrations (MICs) of ciprofloxacin and nalidixic acid were determined by using the E-test strips. Disc diffusion susceptibility tests and MICs were interpreted according to the guidelines provided by National Committee for Control Laboratory Standard (NCCLS). A total of 21(65.5%) out of 32 isolates of Salmonellae were nalidixic acid-resistant by disk diffusion method. All the nalidixic acid-resistant isolates by disc diffusion method were confirmed by MICs for both ciprofloxacin and nalidixic acid. All the nalidixic acid-resistant isolates had a ciprofloxacin MIC of 0.25-1 microg/ml (reduced susceptibility) and nalidixic acid MICs > 32 microg (resistant). Out of all Salmonella isolates, 24 (75%) were found to be MDR, and all were S. typbi. Low-level quinolone-resistance in typhoid was high in this small series. Screening for nalidixic acid resistance with a 30 microg nalidixic acid disk is a reliable and cost-effective method to detect low-level fluoroquinolone resistance, especially in the developing countries. (author)

  7. Antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Marianne Frieri

    2017-07-01

    Full Text Available Summary: Antimicrobial resistance in bacterial pathogens is a challenge that is associated with high morbidity and mortality. Multidrug resistance patterns in Gram-positive and -negative bacteria are difficult to treat and may even be untreatable with conventional antibiotics. There is currently a shortage of effective therapies, lack of successful prevention measures, and only a few new antibiotics, which require development of novel treatment options and alternative antimicrobial therapies. Biofilms are involved in multidrug resistance and can present challenges for infection control. Virulence, Staphylococcus aureus, Clostridium difficile infection, vancomycin-resistant enterococci, and control in the Emergency Department are also discussed. Keywords: Antibiotic resistance, Biofilms, Infections, Public health, Emergency Department

  8. Insecticide resistance and resistance mechanisms in bed bugs, Cimex spp. (Hemiptera: Cimicidae).

    Science.gov (United States)

    Dang, Kai; Doggett, Stephen L; Veera Singham, G; Lee, Chow-Yang

    2017-06-29

    The worldwide resurgence of bed bugs [both Cimex lectularius L. and Cimex hemipterus (F.)] over the past two decades is believed in large part to be due to the development of insecticide resistance. The transcriptomic and genomic studies since 2010, as well as morphological, biochemical and behavioral studies, have helped insecticide resistance research on bed bugs. Multiple resistance mechanisms, including penetration resistance through thickening or remodelling of the cuticle, metabolic resistance by increased activities of detoxification enzymes (e.g. cytochrome P450 monooxygenases and esterases), and knockdown resistance by kdr mutations, have been experimentally identified as conferring insecticide resistance in bed bugs. Other candidate resistance mechanisms, including behavioral resistance, some types of physiological resistance (e.g. increasing activities of esterases by point mutations, glutathione S-transferase, target site insensitivity including altered AChEs, GABA receptor insensitivity and altered nAChRs), symbiont-mediated resistance and other potential, yet undiscovered mechanisms may exist. This article reviews recent studies of resistance mechanisms and the genes governing insecticide resistance, potential candidate resistance mechanisms, and methods of monitoring insecticide resistance in bed bugs. This article provides an insight into the knowledge essential for the development of both insecticide resistance management (IRM) and integrated pest management (IPM) strategies for successful bed bug management.

  9. Glyphosate-Resistant Parthenium hysterophorus in the Caribbean Islands: Non Target Site Resistance and Target Site Resistance in Relation to Resistance Levels.

    Directory of Open Access Journals (Sweden)

    Enzo Bracamonte

    2016-12-01

    Full Text Available Glyphosate has been the most intensely herbicide used worldwide for decades, and continues to be a single tool for controlling weeds in woody crops. However, the adoption of this herbicide in a wide range of culture systems has led to the emergence of resistant weeds. Glyphosate has been widely used primarily on citrus in the Caribbean area, but a study of resistance in the Caribbean islands of Cuba and the Dominican Republic has never been carried out. Unfortunately, Parthenium hysterophorus has developed glyphosate-resistance in both islands, independently. The resistance level and mechanisms of different P. hysterophorus accessions (three collected in Cuba (Cu-R and four collected in the Dominican Republic (Do-R have been studied under greenhouse and laboratory conditions. In in vivo assays (glyphosate dose causing 50% reduction in above-ground vegetative biomass and survival, the resistance factor levels showed susceptible accessions (Cu-S≥Do-S, low-resistance accessions (Cu-R3Do-R2>Cu-R2>Do-R3>Do-R4>Cu-R3>>Cu-S≥Do-S. Glyphosate was degraded to aminomethylphosphonic acid, glyoxylate and sarcosine by >88% in resistant accessions except in Cu-R3 and Do-R4 resistant accessions (51.12 and 44.21, respectively, whereas a little glyphosate (<9.32% was degraded in both susceptible accessions at 96 h after treatment. There were significant differences between P. hysterophorus accessions in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS activity enzyme with and without different glyphosate rates. The R accessions showed values of between 0.026 and 0.21 µmol µg-1 TSP protein min-1 basal EPSPS activity values with respect to the S (0.024 and 0.025 accessions. The same trend was found in the EPSPS enzyme activity treated with glyphosate, where a higher enzyme activity inhibition (glyphosate µM corresponded to greater resistance levels in P. hysterophorus accessions. One amino acid substitution was found at position 106 in EPSPS, consisting

  10. Equivalent network for resistance and temperature coefficient of resistance versus temperature and composition of thick resistive films

    International Nuclear Information System (INIS)

    Kusy, A.

    1987-01-01

    Two types of elementary resistances in thick resistive films have been considered: (i) constriction resistance R/sub C/ determined by the bulk properties of conducting material and by the geometry of constriction, and (ii) barrier resistance R/sub B/ determined by the parameters of a thermally activated type of tunneling process and by the geometry of the metal-insulator-metal unit. On this basis a resistance network composed of a large number of the two types of resistances has been defined. The network has been considered as being equivalent to thick resistive film (TRF) from the point of view of the resistance and temperature coefficient of resistance (TCR). The parameters of this network have been evaluated by the computer-aided approximation of the experimental data found for RuO 2 -based TRFs. On the basis of the equations derived for the network as well as the results of the approximation process, it can be concluded that the small values of the network TCR result from the superposition of the TCR of the conducting component β/sub C/ and of the temperature coefficient of barrier resistance α/sub B/. In this superposition β/sub C/ is attenuated (by 1--2 orders of magnitude), while α/sub B/ is attenuated by only few percentages. The network has been found to be strongly barrier dominated

  11. Reconceptualizing resistance: sociology and the affective dimension of resistance.

    Science.gov (United States)

    Hynes, Maria

    2013-12-01

    This paper re-examines the sociological study of resistance in light of growing interest in the concept of affect. Recent claims that we are witness to an 'affective turn' and calls for a 'new sociological empiricism' sensitive to affect indicate an emerging paradigm shift in sociology. Yet, mainstream sociological study of resistance tends to have been largely unaffected by this shift. To this end, this paper presents a case for the significance of affect as a lens by which to approach the study of resistance. My claim is not simply that the forms of actions we would normally recognize as resistance have an affective dimension. Rather, it is that the theory of affect broadens 'resistance' beyond the purview of the two dominant modes of analysis in sociology; namely, the study of macropolitical forms, on the one hand, and the micropolitics of everyday resistance on the other. This broadened perspective challenges the persistent assumption that ideological forms of power and resistance are the most pertinent to the contemporary world, suggesting that much power and resistance today is of a more affective nature. In making this argument, it is a Deleuzian reading of affect that is pursued, which opens up to a level of analysis beyond the common understanding of affect as emotion. I argue that an affective approach to resistance would pay attention to those barely perceptible transitions in power and mobilizations of bodily potential that operate below the conscious perceptions and subjective emotions of social actors. These affective transitions constitute a new site at which both power and resistance operate. © London School of Economics and Political Science 2013.

  12. Review of resistance factor for steel: resistance distributions and resistance factor calibration

    International Nuclear Information System (INIS)

    Schmidt, B.J.; Bartlett, F.M.

    2002-01-01

    Changes in the Canadian steel industry warrant a review of the steel resistance factor in CSA Standard S16 (formerly S16.1) 'Limit states design of steel structures', originally calibrated in the landmark study by Kennedy and Gad Aly in 1980. This paper presents statistical parameters for the bending, compression, and tension resistances of W, WWF, and HSS components produced since 1999 that have been derived from geometric and material properties presented in a companion paper. The resistance factor for steel was recalibrated for the live and dead load combination in the 1995 National Building Code of Canada. A resistance factor of 0.95 is suitable for laterally supported beams, stocky columns, and tension members failing by yield of the gross section, whereas the current value of 0.90 is appropriate for intermediate columns and tension members failing by fracture of the net section. (author)

  13. Electrical resistivity measurements to predict abrasion resistance

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 31; Issue 2. Electrical resistivity measurements to predict abrasion resistance of rock aggregates ... It was seen that correlation coefficients were increased for the rock classes. In addition ...

  14. Antimicrobial resistance determinant microarray for analysis of multi-drug resistant isolates

    Science.gov (United States)

    Taitt, Chris Rowe; Leski, Tomasz; Stenger, David; Vora, Gary J.; House, Brent; Nicklasson, Matilda; Pimentel, Guillermo; Zurawski, Daniel V.; Kirkup, Benjamin C.; Craft, David; Waterman, Paige E.; Lesho, Emil P.; Bangurae, Umaru; Ansumana, Rashid

    2012-06-01

    The prevalence of multidrug-resistant infections in personnel wounded in Iraq and Afghanistan has made it challenging for physicians to choose effective therapeutics in a timely fashion. To address the challenge of identifying the potential for drug resistance, we have developed the Antimicrobial Resistance Determinant Microarray (ARDM) to provide DNAbased analysis for over 250 resistance genes covering 12 classes of antibiotics. Over 70 drug-resistant bacteria from different geographic regions have been analyzed on ARDM, with significant differences in patterns of resistance identified: genes for resistance to sulfonamides, trimethoprim, chloramphenicol, rifampin, and macrolide-lincosamidesulfonamide drugs were more frequently identified in isolates from sources in Iraq/Afghanistan. Of particular concern was the presence of genes responsible for resistance to many of the last-resort antibiotics used to treat war traumaassociated infections.

  15. Assessing resistance of stabilized corrosion resistant steels to intergranular corrosion

    International Nuclear Information System (INIS)

    Karas, A.; Cihal, V. Jr.; Vanek, V.; Herzan, J.; Protiva, K.; Cihal, V.

    1987-01-01

    Resistance to intergranular corrosion was determined for four types of titanium-stabilized steels from the coefficients of stabilization efficiency according to the degree the chemical composition was known. The ATA SUPER steel showed the highest resistance parameter value. The resistance of this type of steel of a specific composition, showing a relatively low value of mean nitrogen content was compared with steel of an optimized chemical composition and with low-carbon niobium stabilized, molybdenum modified steels. The comparison showed guarantees of a sufficient resistance of the steel to intergranular corrosion. The method of assessing the resistance to intergranular corrosion using the calculation of the minimum content of Cr', i.e., the effective chromium content, and the maximum effective carbon content C' giving the resistance parameter k seems to be prospective for practical use in the production of corrosion resistant steels. (author). 1 tab., 5 figs., 15 refs

  16. Resistant Hypertension.

    Science.gov (United States)

    Doroszko, Adrian; Janus, Agnieszka; Szahidewicz-Krupska, Ewa; Mazur, Grzegorz; Derkacz, Arkadiusz

    2016-01-01

    Resistant hypertension is a severe medical condition which is estimated to appear in 9-18% of hypertensive patients. Due to higher cardiovascular risk, this disorder requires special diagnosis and treatment. The heterogeneous etiology, risk factors and comorbidities of resistant hypertension stand in need of sophisticated evaluation to confirm the diagnosis and select the best therapeutic options, which should consider lifestyle modifications as well as pharmacological and interventional treatment. After having excluded pseudohypertension, inappropriate blood pressure measurement and control as well as the white coat effect, suspicion of resistant hypertension requires an analysis of drugs which the hypertensive patient is treated with. According to one definition - ineffective treatment with 3 or more antihypertensive drugs including diuretics makes it possible to diagnose resistant hypertension. A multidrug therapy including angiotensin - converting enzyme inhibitors, angiotensin II receptor blockers, beta blockers, diuretics, long-acting calcium channel blockers and mineralocorticoid receptor antagonists has been demonstrated to be effective in resistant hypertension treatment. Nevertheless, optional, innovative therapies, e.g. a renal denervation or baroreflex activation, may create a novel pathway of blood pressure lowering procedures. The right diagnosis of this disease needs to eliminate the secondary causes of resistant hypertension e.g. obstructive sleep apnea, atherosclerosis and renal or hormonal disorders. This paper briefly summarizes the identification of the causes of resistant hypertension and therapeutic strategies, which may contribute to the proper diagnosis and an improvement of the long term management of resistant hypertension.

  17. Antibiotic Resistance

    DEFF Research Database (Denmark)

    Munck, Christian

    morbidity and mortality as well as an increase in the cost of treatment. Understanding how bacteria respond to antibiotic exposure gives the foundations for a rational approach to counteract antimicrobial resistance. In the work presented in this thesis, I explore the two fundamental sources...... of antimicrobial resistance: (1) adaptive mutations and (2) horizontal acquisition of resistance genes from antibiotic gene reservoirs. By studying the geno- and phenotypic changes of E. coli in response to single and drug-pair exposures, I uncover the evolutionary trajectories leading to adaptive resistance. I...... to rationally design drug combinations that limit the evolution of antibiotic resistance due to counteracting evolutionary trajectories. My results highlight that an in-depth knowledge about the genetic responses to the individual antimicrobial compounds enables the prediction of responses to drug combinations...

  18. Resistance and sheet resistance measurements using electron beam induced current

    International Nuclear Information System (INIS)

    Czerwinski, A.; Pluska, M.; Ratajczak, J.; Szerling, A.; KaPtcki, J.

    2006-01-01

    A method for measurement of spatially uniform or nonuniform resistance in layers and strips, based on electron beam induced current (EBIC) technique, is described. High electron beam currents are used so that the overall resistance of the measurement circuit affects the EBIC signal. During the evaluation, the electron beam is scanned along the measured object, whose load resistance varies with the distance. The variation is compensated by an adjustable resistance within an external circuit. The method has been experimentally deployed for sheet resistance determination of buried regions of lateral confinements in semiconductor laser heterostructures manufactured by molecular beam epitaxy

  19. Dissemination of antibiotic resistance in methicillin-resistant Staphylococcus aureus and vancomycin-resistant S aureus strains isolated from hospital effluents.

    Science.gov (United States)

    Mandal, Santi M; Ghosh, Ananta K; Pati, Bikas R

    2015-12-01

    Vancomycin-resistant Staphylococcus aureus (VRSA) and methicillin-resistant S aureus (MRSA) strains were examined in hospital effluents. Most S aureus strains are resistant to methicillin (MRSA), followed by tetracycline. Approximately 15% of MRSA strains are also resistant to vancomycin (VRSA). All VRSA strains developed a VanR/VanS-regulated 2-component system of VanA-type resistance in their genome. Results indicate that there is a possibility of developing resistance to aminoglycosides by VRSA strains in the near future. Copyright © 2015 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  20. Dinitroaniline herbicide resistance in a multiple-resistant Lolium rigidum population.

    Science.gov (United States)

    Chen, Jinyi; Yu, Qin; Owen, Mechelle; Han, Heping; Powles, Stephen

    2018-04-01

    The pre-emergence dinitroaniline herbicides (such as trifluralin and pendimethalin) are vital to Australian no-till farming systems. A Lolium rigidum population collected from the Western Australian grain belt with a 12-year trifluralin use history was characterised for resistance to dinitroaniline, acetyl CoA carboxylase (ACCase)- and acetolactate synthase (ALS)-inhibiting herbicides. Target-site resistance mechanisms were investigated. This L. rigidum population exhibited 32-fold resistance to trifluralin, as compared with the susceptible population. It also displayed 12- to 30-fold cross-resistance to other dinitroaniline herbicides (pendimethalin, ethalfluralin and oryzalin). In addition, this population showed multiple resistance to commonly used post-emergence ACCase- and ALS-inhibiting herbicides. Two target-site α-tubulin gene mutations (Val-202-Phe and Thr-239-Ile) previously documented in other dinitroaniline-resistant weed species were identified, and some known target-site mutations in ACCase (Ile-1781-Leu, Asp-2078-Gly and Cys-2088-Arg) and ALS (Pro-197-Gln/Ser) were found in the same population. An agar-based Petri dish screening method was established for the rapid diagnosis of resistance to dinitroaniline herbicides. Evolution of target-site resistance to both pre- and post-emergence herbicides was confirmed in a single L. rigidum population. The α-tubulin mutations Val-202-Phe and Thr-239-Ile, documented here for the first time in L. rigidum, are likely to be responsible for dinitroaniline resistance in this population. Early detection of dinitroaniline herbicide resistance and integrated weed management strategies are needed to maintain the effectiveness of dinitroaniline herbicides. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  1. Etoxazole resistance in predatory mite Phytoseiulus persimilis A.-H. (Acari: Phytoseiidae): Cross-resistance, inheritance and biochemical resistance mechanisms.

    Science.gov (United States)

    Yorulmaz Salman, Sibel; Aydınlı, Fatma; Ay, Recep

    2015-07-01

    Phytoseiulus persimilis of the family Phytoseiidae is an effective predatory mite species that is used to control pest mites. The LC50 and LC60 values of etoxazole were determined on P. persimilis using a leaf-disc method and spraying tower. A laboratory selection population designated ETO6 was found to have a 111.63-fold resistance to etoxazole following 6 selection cycles. This population developed low cross-resistance to spinosad, spiromesifen, acetamiprid, indoxacarb, chlorantraniliprole, milbemectin and moderate cross-resistance to deltamethrin. PBO, IBP and DEM synergised resistance 3.17-, 2.85- and 3.60-fold respectively. Crossing experiments revealed that etoxazole resistance in the ETO6 population was an intermediately dominant and polygenic. In addition, detoxifying enzyme activities were increased 2.71-fold for esterase, 3.09-fold for glutathione S-transferase (GST) and 2.76-fold for cytochrome P450 monooxygenase (P450) in the ETO6 population. Selection for etoxazole under laboratory conditions resulted in the development of etoxazole resistance in the predatory mite P. persimilis that are resistant to pesticides are considered valuable for use in resistance management programmes within integrated pest control strategies. Copyright © 2014 Elsevier Inc. All rights reserved.

  2. Relaxation resistance of heat resisting alloys with cobalt

    International Nuclear Information System (INIS)

    Borzdyka, A.M.

    1977-01-01

    Relaxation resistance of refractory nickel-chromium alloys containing 5 to 14 % cobalt is under study. The tests involve the use of circular samples at 800 deg to 850 deg C. It is shown that an alloy containing 14% cobalt possesses the best relaxation resistance exceeding that of nickel-chromium alloys without any cobalt by a factor of 1.5 to 2. The relaxation resistance of an alloy with 5% cobalt can be increased by hardening at repeated loading

  3. Phenotypic- and Genotypic-Resistance Detection for Adaptive Resistance Management in Tetranychus urticae Koch.

    Directory of Open Access Journals (Sweden)

    Deok Ho Kwon

    Full Text Available Rapid resistance detection is necessary for the adaptive management of acaricide-resistant populations of Tetranychus urticae. Detection of phenotypic and genotypic resistance was conducted by employing residual contact vial bioassay (RCV and quantitative sequencing (QS methods, respectively. RCV was useful for detecting the acaricide resistance levels of T. urticae, particularly for on-site resistance detection; however, it was only applicable for rapid-acting acaricides (12 out of 19 tested acaricides. QS was effective for determining the frequencies of resistance alleles on a population basis, which corresponded to 12 nonsynonymous point mutations associated with target-site resistance to five types of acaricides [organophosphates (monocrotophos, pirimiphos-methyl, dimethoate and chlorpyrifos, pyrethroids (fenpropathrin and bifenthrin, abamectin, bifenazate and etoxazole]. Most field-collected mites exhibited high levels of multiple resistance, as determined by RCV and QS data, suggesting the seriousness of their current acaricide resistance status in rose cultivation areas in Korea. The correlation analyses revealed moderate to high levels of positive relationships between the resistance allele frequencies and the actual resistance levels in only five of the acaricides evaluated, which limits the general application of allele frequency as a direct indicator for estimating actual resistance levels. Nevertheless, the resistance allele frequency data alone allowed for the evaluation of the genetic resistance potential and background of test mite populations. The combined use of RCV and QS provides basic information on resistance levels, which is essential for choosing appropriate acaricides for the management of resistant T. urticae.

  4. Resistant mechanisms and molecular epidemiology of imipenem-resistant Acinetobacter baumannii.

    Science.gov (United States)

    Xiao, Shu-Zhen; Chu, Hai-Qing; Han, Li-Zhong; Zhang, Zhe-Min; Li, Bing; Zhao, Lan; Xu, Liyun

    2016-09-01

    The aim of the study was to investigate the resistant mechanisms and homology of imipenem-resistant Acinetobacter baumannii (A. baumannii). A total of 46 non-duplicate imipenem‑resistant A. baumannii clinical isolates were collected from three tertiary hospitals between July, 2011 and June, 2012. The minimal inhibitory concentrations (MICs) of antimicrobial agents were determined using the agar dilution method. Phenylalanine‑arginine β-naphthylamide was used to detect the presence of the efflux pump-mediated resistant mechanism. Polymerase chain reaction was employed to amplify genes associated with drug resistance, including β‑lactamase genes, efflux pump genes and outer membrane protein gene CarO. A few amplicons were randomly selected and sequenced. Multilocus sequence analysis (MLST) was employed in typing A. baumanni. A. baumannii was resistant to imipenem, simultaneously showing resistance to several other antimicrobials. In addtition, 13 A. baumannii were found to mediate drug resistance through operation of the efflux pump. Of the various drug resistance genes tested, blaOXA‑51 was present in 46 isolates, blaOXA‑23 gene was present in 44 isolates and blaNDM gene was found in only one strain. Other drug resistant‑associated genes, including blaKPC, blaIMP, blaOXA-24, blaOXA‑58, blaSHV, blaGIM and blaVIM were not detected. Mutation of adeS and outer membrane protein gene CarO were found in a few of the imipenem‑resistant isolates. The MLST analysis revealed that all 46 clinical isolates were clustered into 11 genotypes and the most frequent genotype was ST208. In conclusion, β‑lactamase genes, genes involved in efflux pump and mutation of outer membrane protein encoding gene may be important in mediating imipenem resistance in A. baumannii. Of the 11 different genotypes, ST11 was shared by the majority of A. baumannii, which may be due to horizontal transfer of patients from hospitals.

  5. Multidrug-Resistant Candida

    DEFF Research Database (Denmark)

    Arendrup, Maiken Cavling; Patterson, Thomas F

    2017-01-01

    Invasive Candida infections remain an important cause of morbidity and mortality, especially in hospitalized and immunocompromised or critically ill patients. A limited number of antifungal agents from only a few drug classes are available to treat patients with these serious infections. Resistance...... can be either intrinsic or acquired. Resistance mechanisms are not exchanged between Candida; thus, acquired resistance either emerges in response to an antifungal selection pressure in the individual patient or, more rarely, occur due to horizontal transmission of resistant strains between patients....... Although multidrug resistance is uncommon, increasing reports of multidrug resistance to the azoles, echinocandins, and polyenes have occurred in several Candida species, most notably Candida glabrata and more recently Candida auris. Drivers are overall antifungal use, subtherapeutic drug levels at sites...

  6. Combating Antibiotic Resistance

    Science.gov (United States)

    ... Bacteria Phasing Out Certain Antibiotic Use in Farm Animals FDA: Cutting-Edge Technology Sheds Light on Antibiotic Resistance For More Information Antibiotics and Antibiotic Resistance Antimicrobial Resistance Information for Consumers and Health Professionals CDC: ...

  7. HIV Resistance Testing

    Science.gov (United States)

    ... 14, 2016 Select a Language: Fact Sheet 126 HIV Resistance Testing WHAT IS RESISTANCE? HOW DOES RESISTANCE ... ARVs. If you miss doses of your medications, HIV will multiply more easily. More mutations will occur. ...

  8. Using data on resistance prevalence per sample in the surveillance of antimicrobial resistance

    DEFF Research Database (Denmark)

    Vieira, Antonio; Shuyu, Wu; Jensen, Lars Bogø

    2008-01-01

    Objectives: In most existing antimicrobial resistance monitoring programmes, one single bacterial colony from each collected sample is susceptibility tested against a panel of antimicrobials. Detecting the proportion of colonies resistant to different antimicrobials in each sample can provide...... quantitative data on antimicrobial resistance (resistance prevalence per sample). Methods: In this study, a total of 98 faecal samples from slaughter pigs were tested for tetracycline and sulphonamide resistance in Escherichia coli using the single colony method, and these results were compared...... with the results obtained using the resistance prevalence per sample method. Results: The results obtained by the resistance prevalence per sample method showed a lower occurrence of resistance. Tetracycline resistance in E. coli was found in 36.7% of the samples using the single colony method, while the mean...

  9. Resisting Organizational Change

    OpenAIRE

    Andersson, Gunnar

    2015-01-01

    We are continuously reminded of how change induces controversy and resistance, regardless of support. We repeatedly experience resistance in difficulties of implementation, little progress, and poor results, rather than increased productivity as anticipated. In a detailed account of how change plays out, a mosaic of what resistance looks like emerges. The picture is both familiar and absolutely concrete, and challenges the structural assumptions and dichotomies on support and resistance in an...

  10. High chlorpyrifos resistance in Culex pipiens mosquitoes: strong synergy between resistance genes

    Science.gov (United States)

    Alout, H; Labbé, P; Berthomieu, A; Makoundou, P; Fort, P; Pasteur, N; Weill, M

    2016-01-01

    We investigated the genetic determinism of high chlorpyrifos resistance (HCR), a phenotype first described in 1999 in Culex pipiens mosquitoes surviving chlorpyrifos doses ⩾1 mg l−1 and more recently found in field samples from Tunisia, Israel or Indian Ocean islands. Through chlorpyrifos selection, we selected several HCR strains that displayed over 10 000-fold resistance. All strains were homozygous for resistant alleles at two main loci: the ace-1 gene, with the resistant ace-1R allele expressing the insensitive G119S acetylcholinesterase, and a resistant allele of an unknown gene (named T) linked to the sex and ace-2 genes. We constructed a strain carrying only the T-resistant allele and studied its resistance characteristics. By crossing this strain with strains harboring different alleles at the ace-1 locus, we showed that the resistant ace-1R and the T alleles act in strong synergy, as they elicited a resistance 100 times higher than expected from a simple multiplicative effect. This effect was specific to chlorpyrifos and parathion and was not affected by synergists. We also examined how HCR was expressed in strains carrying other ace-1-resistant alleles, such as ace-1V or the duplicated ace-1D allele, currently spreading worldwide. We identified two major parameters that influenced the level of resistance: the number and the nature of the ace-1-resistant alleles and the number of T alleles. Our data fit a model that predicts that the T allele acts by decreasing chlorpyrifos concentration in the compartment targeted in insects. PMID:26463842

  11. Measurement of Dynamic Resistance in Resistance Spot Welding

    DEFF Research Database (Denmark)

    Wu, Pei; Lu, J.; Zhang, Wenqi

    2007-01-01

    is influenced by inductive noise caused by the high welding current. In this study, the dynamic resistance is determined by measuring the voltage at primary side and current at secondary side. This increases the accuracy of measurement because of higher signal-noise ratio, and allows to apply to in-process......The conventional methods of determining the dynamic resistance were mostly done by measuring the voltage and current at secondary side of transformer in resistance welding machines, in which the measuring set-up normally interferes with the movement of electrode, and the measuring precision...

  12. DIRProt: a computational approach for discriminating insecticide resistant proteins from non-resistant proteins.

    Science.gov (United States)

    Meher, Prabina Kumar; Sahu, Tanmaya Kumar; Banchariya, Anjali; Rao, Atmakuri Ramakrishna

    2017-03-24

    Insecticide resistance is a major challenge for the control program of insect pests in the fields of crop protection, human and animal health etc. Resistance to different insecticides is conferred by the proteins encoded from certain class of genes of the insects. To distinguish the insecticide resistant proteins from non-resistant proteins, no computational tool is available till date. Thus, development of such a computational tool will be helpful in predicting the insecticide resistant proteins, which can be targeted for developing appropriate insecticides. Five different sets of feature viz., amino acid composition (AAC), di-peptide composition (DPC), pseudo amino acid composition (PAAC), composition-transition-distribution (CTD) and auto-correlation function (ACF) were used to map the protein sequences into numeric feature vectors. The encoded numeric vectors were then used as input in support vector machine (SVM) for classification of insecticide resistant and non-resistant proteins. Higher accuracies were obtained under RBF kernel than that of other kernels. Further, accuracies were observed to be higher for DPC feature set as compared to others. The proposed approach achieved an overall accuracy of >90% in discriminating resistant from non-resistant proteins. Further, the two classes of resistant proteins i.e., detoxification-based and target-based were discriminated from non-resistant proteins with >95% accuracy. Besides, >95% accuracy was also observed for discrimination of proteins involved in detoxification- and target-based resistance mechanisms. The proposed approach not only outperformed Blastp, PSI-Blast and Delta-Blast algorithms, but also achieved >92% accuracy while assessed using an independent dataset of 75 insecticide resistant proteins. This paper presents the first computational approach for discriminating the insecticide resistant proteins from non-resistant proteins. Based on the proposed approach, an online prediction server DIRProt has

  13. Resistance patterns, prevalence, and predictors of fluoroquinolones resistance in multidrug resistant tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Nafees Ahmad

    2016-01-01

    Conclusion: The high degree of drug resistance observed, particularly to fluoroquinolones, is alarming. We recommend the adoption of more restrictive policies to control non-prescription sale of fluoroquinolones, its rational use by physicians, and training doctors in both private and public–private mix sectors to prevent further increase in fluoroquinolones resistant Mycobacterium tuberculosis strains.

  14. Shear flow generation and turbulence suppression by resistive ballooning and resistive interchange modes

    International Nuclear Information System (INIS)

    Guzdar, P.N.; Drake, J.F.

    1993-01-01

    The generation of shear flow by resistive ballooning modes and resistive interchange modes is compared and contrasted using a 3-D fluid code. The resistive ballooning modes give rise to poloidally asymmetric transport and hence drive poloidal rotation due to the Reynold's Stress as well as the anomalous Stringer/Winsor mechanism. On the other hand the resistive interchange mode can drive shear flow only through the Reynold's Stress. The studies show that if the self-consistent sheared flow is suppressed, the resistive ballooning modes give rise to a larger anomalous transport than produced by the resistive interchange modes. Furthermore the shear flow generated by the resistive ballooning modes is larger than that driven by the resistive interchange modes due to the combined effect of the dual mechanisms stated earlier. As a consequence strong suppression of the fluctuations as well as reduction of the transport occurs for resistive ballooning modes. On the other hand, for the resistive interchange modes the level of fluctuation as well as the anomalous transport is not reduced by the self consistent shear flow generated by the Reynold's Stress. This latter result is in agreement with some earlier 3-D simulation of resistive interchange modes

  15. Rapid report acetamiprid resistance and cross-resistance in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Ninsin, Kodwo D

    2004-09-01

    A 110-fold acetamiprid-resistant Plutella xylostella (L) strain was established after four selection experiments (in five generations) on a 9.5-fold resistant colony in the laboratory. The resistant strain did not show cross-resistance to chlorfluazuron or Bacillus thuringiensis subsp kurstaki Berliner, but displayed low resistance to cartap and phenthoate.

  16. Occurrence of integrons and resistance genes among sulphonamide-resistant Shigella spp. from Brazil

    DEFF Research Database (Denmark)

    Peirano, G.; Agersø, Yvonne; Aarestrup, Frank Møller

    2005-01-01

    Objectives: To determine the occurrence of class 1 and 2 integrons and antimicrobial resistance genes among sulphonamide-resistant Shigella strains isolated in Brazil during 1999-2003. Methods: Sixty-two Shigella (Shigella flexneri, n = 47 and Shigella sonnei, n = 15) were tested against 21...... antimicrobial agents. The presence of integrons classes 1 and 2 and antimicrobial resistance genes was investigated by PCR using specific primers. Results: A total of eight antimicrobial resistance profiles were identified, with the profile of resistance to sulfamethoxazole, trimethoprim, spectinomycin...... of 2214 bp harbouring a gene cassette array conferring resistance to trimethoprim, streptothricin and spectinomycin/streptomycin. The genes coding for resistance to chloramphenicol (catA1), tetracycline [tet(A) and tet(B)] and ampicillin (bla(OXA) and bla(TEM)), were detected in resistant strains...

  17. Multidrug-resistant tuberculosis

    Directory of Open Access Journals (Sweden)

    McNerney Ruth

    2008-01-01

    Full Text Available Abstract Background With almost 9 million new cases each year, tuberculosis remains one of the most feared diseases on the planet. Led by the STOP-TB Partnership and WHO, recent efforts to combat the disease have made considerable progress in a number of countries. However, the emergence of mutated strains of Mycobacterium tuberculosis that are resistant to the major anti-tuberculosis drugs poses a deadly threat to control efforts. Multidrug-resistant tuberculosis (MDR-TB has been reported in all regions of the world. More recently, extensively drug resistant-tuberculosis (XDR-TB that is also resistant to second line drugs has emerged in a number of countries. To ensure that adequate resources are allocated to prevent the emergence and spread of drug resistance it is important to understand the scale of the problem. In this article we propose that current methods of describing the epidemiology of drug resistant tuberculosis are not adequate for this purpose and argue for the inclusion of population based statistics in global surveillance data. Discussion Whereas the prevalence of tuberculosis is presented as the proportion of individuals within a defined population having disease, the prevalence of drug resistant tuberculosis is usually presented as the proportion of tuberculosis cases exhibiting resistance to anti-tuberculosis drugs. Global surveillance activities have identified countries in Eastern Europe, the former Soviet Union and regions of China as having a high proportion of MDR-TB cases and international commentary has focused primarily on the urgent need to improve control in these settings. Other regions, such as sub-Saharan Africa have been observed as having a low proportion of drug resistant cases. However, if one considers the incidence of new tuberculosis cases with drug resistant disease in terms of the population then countries of sub-Saharan Africa have amongst the highest rates of transmitted MDR-TB in the world. We propose

  18. Resistant and Refractory Hypertension: Antihypertensive Treatment Resistance vs Treatment Failure

    Science.gov (United States)

    Siddiqui, Mohammed; Dudenbostel, Tanja; Calhoun, David A.

    2017-01-01

    Resistant or difficult to treat hypertension is defined as high blood pressure that remains uncontrolled with 3 or more different antihypertensive medications, including a diuretic. Recent definitions also include controlled blood pressure with use of 4 or more medications as also being resistant to treatment. Recently, refractory hypertension, an extreme phenotype of antihypertensive treatment failure has been defined as hypertension uncontrolled with use of 5 or more antihypertensive agents, including a long-acting thiazide diuretic and a mineralocorticoid receptor antagonist. Patients with resistant vs refractory hypertension share similar characteristics and comorbidities, including obesity, African American race, female sex, diabetes, coronary heart disease, chronic kidney disease, and obstructive sleep apnea. Patients with refractory vs resistant hypertension tend to be younger and are more likely to have been diagnosed with congestive heart failure. Refractory hypertension might also differ from resistant hypertension in terms of underlying cause. Preliminary evidence suggests that refractory hypertension is more likely to be neurogenic in etiology (ie, heightened sympathetic tone), vs a volume-dependent hypertension that is more characteristic of resistant hypertension in general. PMID:26514749

  19. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    Science.gov (United States)

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  20. Prevalence of chlorhexidine-resistant methicillin-resistant Staphylococcus aureus following prolonged exposure.

    Science.gov (United States)

    Schlett, Carey D; Millar, Eugene V; Crawford, Katrina B; Cui, Tianyuan; Lanier, Jeffrey B; Tribble, David R; Ellis, Michael W

    2014-08-01

    Chlorhexidine has been increasingly utilized in outpatient settings to control methicillin-resistant Staphylococcus aureus (MRSA) outbreaks and as a component of programs for MRSA decolonization and prevention of skin and soft-tissue infections (SSTIs). The objective of this study was to determine the prevalence of chlorhexidine resistance in clinical and colonizing MRSA isolates obtained in the context of a community-based cluster-randomized controlled trial for SSTI prevention, during which 10,030 soldiers were issued chlorhexidine for body washing. We obtained epidemiological data on study participants and performed molecular analysis of MRSA isolates, including PCR assays for determinants of chlorhexidine resistance and high-level mupirocin resistance and pulsed-field gel electrophoresis (PFGE). During the study period, May 2010 to January 2012, we identified 720 MRSA isolates, of which 615 (85.4%) were available for molecular analysis, i.e., 341 clinical and 274 colonizing isolates. Overall, only 10 (1.6%) of 615 isolates were chlorhexidine resistant, including three from the chlorhexidine group and seven from nonchlorhexidine groups (P > 0.99). Five (1.5%) of the 341 clinical isolates and five (1.8%) of the 274 colonizing isolates harbored chlorhexidine resistance genes, and four (40%) of the 10 possessed genetic determinants for mupirocin resistance. All chlorhexidine-resistant isolates were USA300. The overall prevalence of chlorhexidine resistance in MRSA isolates obtained from our study participants was low. We found no association between extended chlorhexidine use and the prevalence of chlorhexidine-resistant MRSA isolates; however, continued surveillance is warranted, as this agent continues to be utilized for infection control and prevention efforts. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  1. KTA 625 alloy tube with excellent corrosion resistance and heat resistance

    International Nuclear Information System (INIS)

    Fujiwara, Kazuo; Kadonaga, Toshiki; Kikuma, Seiji.

    1982-01-01

    The problems when seamless tubes are produced by using nickel base 625 alloy (61Ni-22Cr-9Mo-Cb) which is known as a corrosion resistant and heat resistant alloyF were examined, and the confirmation experiment was carried out on its corrosion resistance and heat resistance. Various difficulties have been experienced in the tube making owing to the characteristics due to the chemical composition, but they were able to be solved by the repeated experiments. As for the characteristics of the product, the corrosion resistance was excellent particularly in the environment containing high temperature, high concentration chloride, and also the heat resistance was excellent in the wide temperature range from normal temperature to 1000 deg C. From these facts, the wide fields of application are expected for these alloy tubes, including the evaporation and concentration equipment for radioactive wastes in atomic energy field. Expecting the increase of demand hereafter, Kobe Steel Ltd. examined the problems when seamless tubes are produced from the 625 alloy by Ugine Sejournet process. The aptitude for tube production such as the chemical composition, production process and the product characteristics, the corrosion resistance against chloride, hydrogen sulfide, polythionic and other acids,F the high temperature strength and oxidation resistance are reported. (Kako, I.)

  2. Cross-Resistance of UV- or Chlorine Dioxide-Resistant Echovirus 11 to Other Disinfectants

    Directory of Open Access Journals (Sweden)

    Qingxia Zhong

    2017-10-01

    Full Text Available The emergence of waterborne viruses with resistance to disinfection has been demonstrated in the laboratory and in the environment. Yet, the implications of such resistance for virus control remain obscure. In this study we investigate if viruses with resistance to a given disinfection method exhibit cross-resistance to other disinfectants. Chlorine dioxide (ClO2- or UV-resistant populations of echovirus 11 were exposed to five inactivating treatments (free chlorine, ClO2, UV radiation, sunlight, and heat, and the extent of cross-resistance was determined. The ClO2-resistant population exhibited cross-resistance to free chlorine, but to none of the other inactivating treatments tested. We furthermore demonstrated that ClO2 and free chlorine act by a similar mechanism, in that they mainly inhibit the binding of echovirus 11 to its host cell. As such, viruses with host binding mechanisms that can withstand ClO2 treatment were also better able to withstand oxidation by free chlorine. Conversely, the UV-resistant population was not significantly cross-resistant to any other disinfection treatment. Overall, our results indicate that viruses with resistance to multiple disinfectants exist, but that they can be controlled by inactivating methods that operate by a distinctly different mechanism. We therefore suggest to utilize two disinfection barriers that act by different mechanisms in order to control disinfection-resistant viruses.

  3. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... of Animation of Antimicrobial Resistance More in Antimicrobial ... Antimicrobial Resistance Monitoring System About NARMS 2015 NARMS Integrated ...

  4. Antibiotic resistance reservoirs

    NARCIS (Netherlands)

    Versluis, Dennis

    2016-01-01

    One of the major threats to human health in the 21st century is the emergence of pathogenic bacteria that are resistant to multiple antibiotics, thereby limiting treatment options. An important route through which pathogens become resistant is via acquisition of resistance genes from

  5. An Experimental Study of the Electrical Contact Resistance in Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng; Zhang, Wenqi; Bay, Niels

    2005-01-01

    Electrical contact resistance is of critical importance in resistance welding. In this article, the contact resistance is experimentally investigated for welding mild steel, stainless steel, and aluminum to themselves. A parametric study was carried out on a Gleeble® machine, investigating...

  6. Analysis and modeling of resistive switching mechanisms oriented to resistive random-access memory

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2013-01-01

    With the progress of the semiconductor industry, the resistive random-access memory (RAM) has drawn increasing attention. The discovery of the memristor has brought much attention to this study. Research has focused on the resistive switching characteristics of different materials and the analysis of resistive switching mechanisms. We discuss the resistive switching mechanisms of different materials in this paper and analyze the differences of those mechanisms from the view point of circuitry to establish their respective circuit models. Finally, simulations are presented. We give the prospect of using different materials in resistive RAM on account of their resistive switching mechanisms, which are applied to explain their resistive switchings

  7. Antimicrobial Resistance

    Science.gov (United States)

    ... least 10 countries (Australia, Austria, Canada, France, Japan, Norway, Slovenia, South Africa, Sweden and the United Kingdom ... plan Global report on surveillance Country situation analysis Policy to combat antimicrobial resistance More on antimicrobial resistance ...

  8. Antimicrobial Resistance

    Science.gov (United States)

    ... can prevent and manage antimicrobial resistance. It is collaborating with partners to strengthen the evidence base and ... on the global action plan. WHO has been leading multiple initiatives to address antimicrobial resistance: World Antibiotic ...

  9. Pyrethroid resistance and cross-resistance in the German cockroach, Blattella germanica (L).

    Science.gov (United States)

    Wei, Y; Appel, A G; Moar, W J; Liu, N

    2001-11-01

    A German cockroach (Blatella germanica (L)) strain, Apyr-R, was collected from Opelika, Alabama after control failures with pyrethroid insecticides. Levels of resistance to permethrin and deltamethrin in Apyr-R (97- and 480-fold, respectively, compared with a susceptible strain, ACY) were partially or mostly suppressed by piperonyl butoxide (PBO) and S,S,S,-tributylphosphorotrithioate (DEF), suggesting that P450 monooxygenases and hydrolases are involved in resistance to these two pyrethroids in Apyr-R. However, incomplete suppression of pyrethroid resistance with PBO and DEF implies that one or more additional mechanisms are involved in resistance. Injection, compared with topical application, resulted in 43- and 48-fold increases in toxicity of permethrin in ACY and Apyr-R, respectively. Similarly, injection increased the toxicity of deltamethrin 27-fold in ACY and 28-fold in Apyr-R. These data indicate that cuticular penetration is one of the obstacles for the effectiveness of pyrethroids against German cockroaches. However, injection did not change the levels of resistance to either permethrin or deltamethrin, suggesting that a decrease in the rate of cuticular penetration may not play an important role in pyrethroid resistance in Apyr-R. Apyr-R showed cross-resistance to imidacloprid, with a resistance ratio of 10. PBO treatment resulted in no significant change in the toxicity of imidacloprid, implying that P450 monooxygenase-mediated detoxication is not the mechanism responsible for cross-resistance. Apyr-R showed no cross-resistance to spinosad, although spinosad had relatively low toxicity to German cockroaches compared with other insecticides tested in this study. This result further confirmed that the mode of action of spinosad to insects is unique. Fipronil, a relatively new insecticide, was highly toxic to German cockroaches, and the multi-resistance mechanisms in Apyr-R did not confer significant cross-resistance to this compound. Thus, we propose

  10. Resistance and cross-resistance profile of the diaryltriazine NNRTI and candidate microbicide UAMC01398.

    Science.gov (United States)

    Ariën, Kevin K; Venkatraj, Muthusamy; Michiels, Johan; Joossens, Jurgen; Vereecken, Katleen; Van der Veken, Pieter; Heeres, Jan; De Winter, Hans; Heyndrickx, Leo; Augustyns, Koen; Vanham, Guido

    2016-05-01

    The resistance development, cross-resistance to other NNRTIs and the impact of resistance on viral replicative fitness were studied for the new and potent NNRTI UAMC01398. Resistance was selected by dose escalation and by single high-dose selection against a comprehensive panel of NNRTIs used as therapeutics and NNRTIs under investigation for pre-exposure prophylaxis of sexual HIV transmission. A panel of 27 site-directed mutants with single mutations or combinations of mutations involved in reverse transcriptase (RT) inhibitor-mediated resistance was developed and used to confirm resistance to UAMC01398. Cross-resistance to other NNRTIs was assessed, as well as susceptibility of UAMC01398-resistant HIV to diarylpyrimidine-resistant viruses. Finally, the impact of UAMC01398 resistance on HIV replicative fitness was studied. We showed that UAMC01398 has potent activity against dapivirine-resistant HIV, that at least four mutations in the RT are required in concert for resistance and that the resistance profile is similar to rilpivirine, both genotypically and phenotypically. Resistance development to UAMC01398 is associated with a severe fitness cost. These data, together with the enhanced safety profile and good solubility in aqueous gels, make UAMC01398 an excellent candidate for HIV topical prevention. © The Author 2016. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  11. Resisting Mind Control.

    Science.gov (United States)

    Anderson, Susan M.; Zimbardo, Philip G.

    1980-01-01

    Provides conceptual analyses of mind control techniques along with practical advice on how to resist these techniques. The authors stress that effective mind control stems more from everyday social relations than from exotic technological gimmicks. Suggestions are given for resisting persuasion, resisting systems, and challenging the system.…

  12. Cross-resistance and Inheritance of Resistance to Emamectin Benzoate in Spodoptera exigua (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Che, Wunan; Huang, Jianlei; Guan, Fang; Wu, Yidong; Yang, Yihua

    2015-08-01

    Beet armyworm, Spodoptera exigua (Hübner), is a worldwide pest of many crops. Chemical insecticides are heavily used for its control in China, and serious resistance has been evolved in the field to a variety of insecticides including emamectin benzoate. Through repeated backcrossing to a susceptible strain (WH-S) and selection with emamectin benzoate, the trait conferring resistance to emamectin benzoate in a field-collected population of S. exigua (moderately resistant to emamectin benzoate and strongly resistant to pyrethroids and indoxacarb) was introgressed into WH-S to generate a near-isogenic resistant strain (WH-EB). Compared with WH-S, the WH-EB strain developed a 1,110-fold resistance to emamectin benzoate and a high level of cross-resistance to abamectin (202-fold), with low levels of cross-resistance to cypermethrin (10-fold) and chlorfluazuron (7-fold), but no cross-resistance to representatives of another six different classes of insecticides (chlorantraniliprole, chlorfenapyr, indoxacarb, spinosad, tebufenozide, and chlorpyrifos). Resistance to emamectin benzoate in WH-EB was autosomal, incompletely dominant, and polygenic. Limited cross-resistance in WH-EB indicates that emamectin benzoate can be rotated with other classes of insecticides to which it does not show cross-resistance to delay the evolution of resistance in S. exigua. The incompletely dominant nature of resistance in S. exigua may explain the rapid evolution of resistance to emamectin benzoate in the field, and careful deployment of this chemical within a resistance management program should be considered. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  13. Resistance to lambda-cyhalothrin in Spanish field populations of Ceratitis capitata and metabolic resistance mediated by P450 in a resistant strain.

    Science.gov (United States)

    Arouri, Rabeh; Le Goff, Gaelle; Hemden, Hiethem; Navarro-Llopis, Vicente; M'saad, Mariem; Castañera, Pedro; Feyereisen, René; Hernández-Crespo, Pedro; Ortego, Félix

    2015-09-01

    The withdrawal of malathion in the European Union in 2009 resulted in a large increase in lambda-cyhalothrin applications for the control of the Mediterranean fruit fly, Ceratitis capitata, in Spanish citrus crops. Spanish field populations of C. capitata have developed resistance to lambda-cyhalothrin (6-14-fold), achieving LC50 values (129-287 ppm) higher than the recommended concentration for field treatments (125 ppm). These results contrast with the high susceptibility to lambda-cyhalothrin found in three Tunisian field populations. We have studied the mechanism of resistance in the laboratory-selected resistant strain W-1Kλ (205-fold resistance). Bioassays with synergists showed that resistance was almost completely suppressed by the P450 inhibitor PBO. The study of the expression of 53 P450 genes belonging to the CYP4, CYP6, CYP9 and CYP12 families in C. capitata revealed that CYP6A51 was overexpressed (13-18-fold) in the resistant strain. The W-1Kλ strain also showed high levels of cross-resistance to etofenprox (240-fold) and deltamethrin (150-fold). Field-evolved resistance to lambda-cyhalothrin has been found in C. capitata. Metabolic resistance mediated by P450 appears to be the main resistance mechanism in the resistant strain W-1Kλ. The levels of cross-resistance found may compromise the effectiveness of other pyrethroids for the control of this species. © 2014 Society of Chemical Industry. © 2014 Society of Chemical Industry.

  14. Resistance to Powdery Mildews

    DEFF Research Database (Denmark)

    Siwoszek, Agnieszka Izabela

    in majority of them. Resistance to barley powdery mildew in the field is controlled by use of resistant varieties in a combination with fungicides. Early disease management is crucial for effective control. Yet, the pathogen commonly develops fungicide resistance due to simple point mutations. Several studies...... protection. In the present study, I provide an overview of the current knowledge about plant pathogens and plant disease resistance. I use Arabidopsis as a model to investigate the mechanism of non-host resistance, presumed to be the most durable and broad-spectrum form of resistance. I attempt to determine...

  15. plasmid mediated resistance in multidrug resistant bacteria isolated

    African Journals Online (AJOL)

    User

    PLASMID MEDIATED RESISTANCE IN MULTIDRUG RESISTANT BACTERIA. ISOLATED FROM CHILDREN WITH SUSPECTED SEPTICAEMIA IN ZARIA,. NIGERIA. AbdulAziz, Z. A.,1* Ehinmidu, J. O.,1 Adeshina, G. O.,1 Pala, Y. Y2., Yusuf, S. S2. and. Bugaje, M. A.3. 1Department of Pharmaceutics and Pharmaceutical ...

  16. Electrical resistivity measurements to predict abrasion resistance of ...

    Indian Academy of Sciences (India)

    WINTEC

    increasing expansion of highway and other construction works and decreasing natural aggregate resources in the world, the demand for crushed stone aggregates has in- creased from day-to-day. One of the important properties of rock aggregates is abrasion resistance. The abrasion resistance of aggregates is generally ...

  17. Resistant hypertension.

    Science.gov (United States)

    Wei, Fang-Fei; Zhang, Zhen-Yu; Huang, Qi-Fang; Yang, Wen-Yi; Staessen, Jan A

    2018-06-15

    The publication of the first non-randomised proof-of-concept trial of renal denervation as a treatment modality in treatment-resistant hypertension set the stage for a search for novel devices with the expectation that technology would reduce the burden of hypertension by reducing or eliminating the costly and lifelong use of blood pressure lowering medications. As we demonstrate in this review, this idea so attractive to manufacturers and invasive cardiologists and radiologists overlooked decades of careful pathophysiological research in a disease, which still remains enigmatic but remains the major cause of cardiovascular mortality worldwide. To make our point, we first reviewed the prevalence and risks associated with treatment-resistant hypertension. Next, we highlighted the key points required for the diagnosis of treatment-resistant hypertension, including the recording of the ambulatory blood pressure and the assessment of adherence to medication. Finally, we summarised new insights in the management of treatment-resistant hypertension by medication and devices and in the future research. Throughout our review, we focused on new evidence became available since 2013. Our conclusion is that optimising medical treatment based on simple algorithms remains the state of the art in treatment-resistant hypertension.

  18. [Resistance risk and resistance stability of Frankliniella occidentalis to imidacloprid, emamectin benzoate, and phoxim].

    Science.gov (United States)

    Wang, Sheng-Yin; Yu, Yi; Liu, Yong-Jie; Ma, Jing-Yu

    2012-12-01

    In order to effectively control the damage of Frankliniella occidentalis (Pergande), Phaseolus vuglaris was dipped with imidacloprid, phoxim, and emamectin benzoate, respectively to select the resistance populations of F. occidentalis from its susceptible population, and the resistance inheritance and resistance risk were analyzed with the resistance reality heredity. After 32, 32, and 24 generations' selection, the F. occidentalis populations obtained 13.8-fold, 29.4-fold and 39.0-fold resistance to imidacloprid, phoxim, and emamectin benzoate, respectively. The resistance reality heritability to imidacloprid, phoxim, and emamectin benzoate was 0.112, 0.166, and 0.259, respectively. The resistance development rate to emamectin benzoate was the fastest, followed by to phoxim, and to imidacloprid. The higher the resistance levels of the selected populations, the lower the differences between the larva and adult susceptibility to imidacloprid, phoxim, and emamectin benzoate. Stopping selection for 12 continuous generations, the resistance level of the selected resistance populations to imidacloprid, phoxim, and emamectin benzoate had definite decline, but it was difficult to regain the original susceptibility. F. occidentalis had a greater potential to gain high level resistance to imidacloprid, phoxim, and emamectin benzoate. Compared with the resistance of F. occidentalis to phoxim and emamectin benzoate, the resistance to imidacloprid increased slower and decreased faster, and thus, imidacloprid was more appropriate to control F. occidentalis in practice.

  19. Resistance Selection and Characterization of Chlorantraniliprole Resistance in Plutella xylostella (Lepidoptera: Plutellidae).

    Science.gov (United States)

    Liu, Xia; Wang, Hong-Yan; Ning, Yu-Bo; Qiao, Kang; Wang, Kai-Yun

    2015-08-01

    The diamondback moth, Plutella xylostella (L.), is considered one of the most damaging lepidopteran pests, and it has developed resistance to all conventional insecticide classes in the field. Chlorantraniliprole is the first commercial insecticide that belongs to the new chemical class of diamide insecticides. But, P. xylostella have already shown resistance to chlorantraniliprole in China. After 52 generations of selection with chlorantraniliprole, ∼48.17-fold resistance was observed. The resistant strain showed cross-resistance to flubendiamide (7.29-fold), abamectin (6.11-fold), and cyantraniliprole (3.31-fold). Quantitative real-time polymerase chain reaction analysis showed that the expression of the ryanodine receptor gene was higher in the resistant strain than that in the susceptible strain. Enzyme assays indicated that cytochrome P450 activity in the resistant strain was 4.26 times higher compared with the susceptible strain, whereas no difference was seen for glutathione-S-transferase and esterase. Moreover, the toxicity of chlorantraniliprole in the resistant strain could be synergized by piperonyl butoxide, but not by diethyl maleate, and S,S,S-tributyl phosphorothioate. These results can serve as an important base for guiding the use of insecticide in field and delaying the development of pests that are resistant to the insecticides. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  20. Emergence of multidrug-resistant, extensively drug-resistant and untreatable gonorrhea

    Science.gov (United States)

    Unemo, Magnus; Nicholas, Robert A

    2013-01-01

    The new superbug Neisseria gonorrhoeae has retained resistance to antimicrobials previously recommended for first-line treatment and has now demonstrated its capacity to develop resistance to the extended-spectrum cephalosporin, ceftriaxone, the last remaining option for first-line empiric treatment of gonorrhea. An era of untreatable gonorrhea may be approaching, which represents an exceedingly serious public health problem. Herein, we review the evolution, origin and spread of antimicrobial resistance and resistance determinants (with a focus on extended-spectrum cephalosporins) in N. gonorrhoeae, detail the current situation regarding verified treatment failures with extended-spectrum cephalosporins and future treatment options, and highlight essential actions to meet the large public health challenge that arises with the possible emergence of untreatable gonorrhea. Essential actions include: implementing action/response plans globally and nationally; enhancing surveillance of gonococcal antimicrobial resistance, treatment failures and antimicrobial use/misuse; and improving prevention, early diagnosis and treatment of gonorrhea. Novel treatment strategies, antimicrobials (or other compounds) and, ideally, a vaccine must be developed. PMID:23231489

  1. Cross-resistance, inheritance and biochemical mechanisms of imidacloprid resistance in B-biotype Bemisia tabaci.

    Science.gov (United States)

    Wang, Zhenyu; Yao, Mingde; Wu, Yidong

    2009-11-01

    The B-type Bemisia tabaci (Gennadius) has become established in many regions in China, and neonicotinoids are extensively used to control this pest. Imidacloprid resistance in a laboratory-selected strain of B-type B. tabaci was characterised in order to provide the basis for recommending resistance management tactics. The NJ-Imi strain of B-type B. tabaci was selected from the NJ strain with imidacloprid for 30 generations. The NJ-Imi strain exhibited 490-fold resistance to imidacloprid, high levels of cross-resistance to three other neonicotinoids, low levels of cross-resistance to monosultap, cartap and spinosad, but no cross-resistance to abamectin and cypermethrin. Imidacloprid resistance in the NJ-Imi strain was autosomal and semi-dominant. It is shown that enhanced detoxification mediated by cytochrome-P450-dependent monooxygenases contributes to imidacloprid resistance to some extent in the NJ-Imi strain. Results from synergist bioassays and cross-resistance patterns indicated that target-site insensitivity may be involved in imidacloprid resistance in the NJ-Imi strain of B. tabaci. Although oxidative detoxification mediated by P450 monooxygenases is involved in imidacloprid resistance in the NJ-Imi strain of B-type B. tabaci, target-site modification as an additional resistance mechanism cannot be ruled out. Considering the high risk of cross-resistance, neonicotinoids should be regarded as a single group when implementing an insecticide rotation scheme in B. tabaci control. (c) 2009 Society of Chemical Industry.

  2. Mechanisms of Antibiotic Resistance

    Science.gov (United States)

    Munita, Jose M.; Arias, Cesar A.

    2015-01-01

    Emergence of resistance among the most important bacterial pathogens is recognized as a major public health threat affecting humans worldwide. Multidrug-resistant organisms have emerged not only in the hospital environment but are now often identified in community settings, suggesting that reservoirs of antibiotic-resistant bacteria are present outside the hospital. The bacterial response to the antibiotic “attack” is the prime example of bacterial adaptation and the pinnacle of evolution. “Survival of the fittest” is a consequence of an immense genetic plasticity of bacterial pathogens that trigger specific responses that result in mutational adaptations, acquisition of genetic material or alteration of gene expression producing resistance to virtually all antibiotics currently available in clinical practice. Therefore, understanding the biochemical and genetic basis of resistance is of paramount importance to design strategies to curtail the emergence and spread of resistance and devise innovative therapeutic approaches against multidrug-resistant organisms. In this chapter, we will describe in detail the major mechanisms of antibiotic resistance encountered in clinical practice providing specific examples in relevant bacterial pathogens. PMID:27227291

  3. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... video) Animation of Antimicrobial Resistance (text version) Arabic Translation of Animation of Antimicrobial Resistance Chinese Translation of Animation of Antimicrobial Resistance French Translation of ...

  4. Mango resistance to fruit flies. II - resistance of the alfa cultivar

    Energy Technology Data Exchange (ETDEWEB)

    Rossetto, C.J.; Bortoletto, N., E-mail: rossetto@iac.sp.gov.b [Agencia Paulista de Tecnologia dos Agronegocios (APTA), Votuporanga, SP (Brazil). Polo Regional do Noroeste Paulista; Walder, J.M.M.; Mastrangelo, T. de A., E-mail: jmwalder@cena.usp.b [Centro de Energia Nuclear na Agricultura (CENA/USP), Piracicaba, SP (Brazil); Carvalho, C.R.L.; Castro, J.V. de, E-mail: climonta@iac.sp.gov.b, E-mail: josalba@iac.sp.gov.b [Instituto Agronomico de Campinas, SP (Brazil); Pinto, A.C. de Q. [EMBRAPA, Brasilia, DF (Brazil); Cortelazzo, A.L., E-mail: angelo@unicamp.b [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Inst. de Biologia

    2006-07-01

    The percentage of infested mango fruits of five selected mango varieties was evaluated during three years under field conditions. Three varieties with field resistance to fruit flies had less then 10% of fruits infested. Tommy Atkins, the susceptible commercial check, had 42,9% and the susceptible check had 98.9 % of infested fruits. The three field resistant varieties plus the susceptible commercial check, Tommy Atkins, were further tested in laboratory, under caged conditions, with artificial infestation of Anastrepha obliqua. The attempts of oviposition and the number of pupae developed from each fruit were evaluated. Under caged conditions, the cultivar Alfa maintained its field resistance and Espada Stahl and IAC 111 lost the field resistance and were as susceptible as Tommy Atkins. The attempts of oviposition were positively and highly correlated with the number of pupae developed in the fruits. Non preference for oviposition was confirmed as the main mechanism of resistance of mango fruits to fruit flies. In the absence of a more susceptible variety (no choice test) the cultivar Alfa has kept the resistance (author)

  5. Mango resistance to fruit flies. II - resistance of the alfa cultivar

    International Nuclear Information System (INIS)

    Rossetto, C.J.; Bortoletto, N.; Carvalho, C.R.L.; Castro, J.V. de; Pinto, A.C. de Q.; Cortelazzo, A.L.

    2006-01-01

    The percentage of infested mango fruits of five selected mango varieties was evaluated during three years under field conditions. Three varieties with field resistance to fruit flies had less then 10% of fruits infested. Tommy Atkins, the susceptible commercial check, had 42,9% and the susceptible check had 98.9 % of infested fruits. The three field resistant varieties plus the susceptible commercial check, Tommy Atkins, were further tested in laboratory, under caged conditions, with artificial infestation of Anastrepha obliqua. The attempts of oviposition and the number of pupae developed from each fruit were evaluated. Under caged conditions, the cultivar Alfa maintained its field resistance and Espada Stahl and IAC 111 lost the field resistance and were as susceptible as Tommy Atkins. The attempts of oviposition were positively and highly correlated with the number of pupae developed in the fruits. Non preference for oviposition was confirmed as the main mechanism of resistance of mango fruits to fruit flies. In the absence of a more susceptible variety (no choice test) the cultivar Alfa has kept the resistance (author)

  6. Cross-resistance of bisultap resistant strain of Nilaparvata lugens and its biochemical mechanism.

    Science.gov (United States)

    Ling, Shanfeng; Zhang, Runjie

    2011-02-01

    The resistant (R) strain of the planthopper Nilaparvata lugens (Stål) selected for bisultap resistance displayed 7.7-fold resistance to bisultap and also had cross-resistance to nereistoxin (monosultap, thiocyclam, and cartap), chlorpyrifos, dimethoate, and malathion but no cross-resistance to buprofezin, imidacloprid, and fipronil. To find out the biochemical mechanism of resistance to bisultap, biochemical assay was done. The results showed that cytochrome P450 monooxygenases (P450) activity in R strain was 2.71-fold that in susceptible strain (S strain), in which the changed activity for general esterase (EST) was 1.91 and for glutathione S-transferases only 1.32. Piperonyl butoxide (PBO) could significantly inhibit P450 activity (percentage of inhibition [PI]: 37.31%) in the R strain, with ESTs PI = 16.04% by triphenyl phosphate (TPP). The results also demonstrated that diethyl maleate had no synergism with bisultap. However, PBO displayed significant synergism in three different strains, and the synergism increased with resistance (S strain 1.42, Lab strain, 2.24 and R strain, 3.23). TPP also showed synergism for three strains, especially in R strain (synergistic ratio = 2.47). An in vitro biochemical study and in vivo synergistic study indicated that P450 might be play important role in the biochemical mechanism of bisultap resistance and that esterase might be the important factor of bisultap resistance. Acetylcholinesterase (AChE) insensitivity play important role in bisultap resistance. We suggest that buprofezin, imidacloprid, and fipronil could be used in resistance management programs for N. lugens via alternation and rotation with bisultap.

  7. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  8. Corrosion-resistant metal surfaces

    Science.gov (United States)

    Sugama, Toshifumi [Wading River, NY

    2009-03-24

    The present invention relates to metal surfaces having thereon an ultrathin (e.g., less than ten nanometer thickness) corrosion-resistant film, thereby rendering the metal surfaces corrosion-resistant. The corrosion-resistant film includes an at least partially crosslinked amido-functionalized silanol component in combination with rare-earth metal oxide nanoparticles. The invention also relates to methods for producing such corrosion-resistant films.

  9. Contribution of non-target-site resistance in imidazolinone-resistant Imisun sunflower

    Directory of Open Access Journals (Sweden)

    Gabriela Breccia

    2017-08-01

    Full Text Available ABSTRACT The first commercial herbicide-resistant trait in sunflower (Helianthus annuus L. is known as ‘Imisun’. Imidazolinone resistance in Imisun cultivars has been reported to be genetically controlled by a major gene (known as Imr1 or Ahasl1-1 and modifier genes. Imr1 is an allelic variant of the Ahasl1 locus that codes for the acetohydroxyacid synthase, which is the target site of these herbicides. The mechanism of resistance endowed by modifier genes has not been characterized and it could be related to non-target-site resistance. The objective of this study was to evaluate the role of cytochrome P450 monooxygenases (P450s in Imisun resistance. The response to imazapyr herbicide in combination with P450s inhibitor malathion was evaluated in 2 Imisun lines, IMI-1 and RHA426. Malathion reduced herbicide efficacy in both lines, but IMI-1 was affected in a greater extent. A significant reduction in plant growth in response to P450s inhibitors 1-aminobenzotriazole and piperonyl butoxide treatment was detected in the Imisun line HA425. The increased susceptibility to imazapyr after P450s-inhibitor treatment indicates that herbicide metabolism by P450s is a mechanism involved in Imisun resistance. These results also suggest the involvement of different P450s isozymes in endowing resistance to imazapyr in Imisun cultivars.

  10. Resistance to Wheat Curl Mite in Arthropod-Resistant Rye-Wheat Translocation Lines

    Directory of Open Access Journals (Sweden)

    Lina Maria Aguirre-Rojas

    2017-11-01

    Full Text Available The wheat curl mite, Aceria toschiella (Keifer, and a complex of viruses vectored by A. toschiella substantially reduce wheat yields in every wheat-producing continent in the world. The development of A. toschiella-resistant wheat cultivars is a proven economically and ecologically viable method of controlling this pest. This study assessed A. toschiella resistance in wheat genotypes containing the H13, H21, H25, H26, H18 and Hdic genes for resistance to the Hessian fly, Mayetiola destructor (Say and in 94M370 wheat, which contains the Dn7 gene for resistance to the Russian wheat aphid, Diuraphis noxia (Kurdjumov. A. toschiella populations produced on plants containing Dn7 and H21 were significantly lower than those on plants of the susceptible control and no different than those on the resistant control. Dn7 resistance to D. noxia and H21 resistance to M. destructor resulted from translocations of chromatin from rye into wheat (H21—2BS/2RL, Dn7—1BL/1RS. These results provide new wheat pest management information, indicating that Dn7 and H21 constitute resources that can be used to reduce yield losses caused by A. toschiella, M. destructor, D. noxia, and wheat streak mosaic virus infection by transferring multi-pest resistance to single sources of germplasm.

  11. Genetic Determinants of High-Level Oxacillin Resistance in Methicillin-Resistant Staphylococcus aureus.

    Science.gov (United States)

    Pardos de la Gandara, Maria; Borges, Vitor; Chung, Marilyn; Milheiriço, Catarina; Gomes, João Paulo; de Lencastre, Herminia; Tomasz, Alexander

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains carry either a mecA - or a mecC -mediated mechanism of resistance to beta-lactam antibiotics, and the phenotypic expression of resistance shows extensive strain-to-strain variation. In recent communications, we identified the genetic determinants associated with the stringent stress response that play a major role in the antibiotic resistant phenotype of the historically earliest "archaic" clone of MRSA and in the mecC -carrying MRSA strain LGA251. Here, we sought to test whether or not the same genetic determinants also contribute to the resistant phenotype of highly and homogeneously resistant (H*R) derivatives of a major contemporary MRSA clone, USA300. We found that the resistance phenotype was linked to six genes ( fruB , gmk , hpt , purB , prsA , and relA ), which were most frequently targeted among the analyzed 20 H*R strains (one mutation per clone in 19 of the 20 H*R strains). Besides the strong parallels with our previous findings (five of the six genes matched), all but one of the repeatedly targeted genes were found to be linked to guanine metabolism, pointing to the key role that this pathway plays in defining the level of antibiotic resistance independent of the clonal type of MRSA. Copyright © 2018 American Society for Microbiology.

  12. Recessive Resistance to Plant Viruses: Potential Resistance Genes Beyond Translation Initiation Factors

    Directory of Open Access Journals (Sweden)

    Masayoshi Hashimoto

    2016-10-01

    Full Text Available The ability of plant viruses to propagate their genomes in host cells depends on many host factors. In the absence of an agrochemical that specifically targets plant viral infection cycles, one of the most effective methods for controlling viral diseases in plants is taking advantage of the host plant’s resistance machinery. Recessive resistance is conferred by a recessive gene mutation that encodes a host factor critical for viral infection. It is a branch of the resistance machinery and, as an inherited characteristic, is very durable. Moreover, recessive resistance may be acquired by a deficiency in a negative regulator of plant defense responses, possibly due to the autoactivation of defense signaling. Eukaryotic translation initiation factor (eIF 4E and eIF4G and their isoforms are the most widely exploited recessive resistance genes in several crop species, and they are effective against a subset of viral species. However, the establishment of efficient, recessive resistance-type antiviral control strategies against a wider range of plant viral diseases requires genetic resources other than eIF4Es. In this review, we focus on recent advances related to antiviral recessive resistance genes evaluated in model plants and several crop species. We also address the roles of next-generation sequencing and genome editing technologies in improving plant genetic resources for recessive resistance-based antiviral breeding in various crop species.

  13. Resistance to valproic acid as predictor of treatment resistance in genetic generalized epilepsies

    DEFF Research Database (Denmark)

    Gesche, Joanna; Khanevski, Marina; Solberg, Carl

    2017-01-01

    for refractory seizures. Resistance to valproic acid had a specificity of 100% to identify patients with drug resistance and correlated strongly with bad social outcome and seizure burden. Conversely, 21.2% of all patients with refractory seizures according to the ILAE definition later became seizure free...... (mainly with valproic acid). Our data suggest that "drug resistant GGE" must not be declared unless patients were adequately treated with valproic acid, and advocate resistance to valproic acid as a new clinical biomarker for drug-resistant GGE. A PowerPoint slide summarizing this article is available...

  14. Investigation and Treatment of Fusidic Acid Resistance Among Methicillin-Resistant Staphylococcal Isolates from Egypt.

    Science.gov (United States)

    Abouelfetouh, Alaa; Kassem, Mervat; Naguib, Marwa; El-Nakeeb, Moustafa

    2017-01-01

    Methicillin resistance among staphylococci isolated from patients in northern Egypt has escalated alarmingly in the past decade. Data about the prevalence of fusidic acid (FA) resistance in Egyptian clinical isolates are limited. This work investigates the prevalence and mechanism of FA resistance among 81 methicillin-resistant staphylococcal isolates from major hospitals of Alexandria, Egypt. Some combinations for treating infections due to resistant isolates were studied. Twenty-six isolates (32.1%) were FA resistant (minimum inhibitory concentrations [MICs] = 2-1,024 μg/ml), and fusB and fusC genes coding for FA resistance were detected in 30.77% and 34.62% of the FA-resistant strains, respectively. One highly resistant isolate, S502 (MIC = 1,024 μg/ml), possessed both genes. Plasmid curing resulted in fusB loss and MIC decrease by 16-64 folds. Conjugation caused acquisition of FA resistance among susceptible isolates. Serial passages in subinhibitory FA concentrations produced mutants with increased MIC by 4-32 folds. The combination of FA with rifampin, gentamicin, or ampicillin/sulbactam, in a subinhibitory concentration, was synergistic against the isolates, including serial passage mutants, decreasing number of survivors by an average of 2-4 logs. A relatively moderate rate of FA resistance was detected in Alexandria hospitals. Combination therapy with gentamicin, rifampin, or ampicillin/sulbactam is crucial to preserve the effectiveness of FA.

  15. Antibiotic Resistance in Foodborne Pathogens

    OpenAIRE

    Walsh, Ciara; Duffy, Geraldine

    2013-01-01

    Wide-spread antibiotic resistance among bacterial pathogens is now a serious public health issue and multi-antibiotic resistance has been reported in many foodborne pathogens including Salmonella and E. coli. A study to determine antibiotic resistance profiles of a range of Salmonella and Verocytotoxigenic E.coli (VTEC) isolated from Irish foods revealed significant levels of antibiotic resistance in the strains. S. typhimurium DT104 were multiantibiotic resistant with 97% resistant to 7 anti...

  16. Hydraulic resistance of biofilms

    KAUST Repository

    Dreszer, C.; Vrouwenvelder, Johannes S.; Paulitsch-Fuchs, Astrid H.; Zwijnenburg, Arie; Kruithof, Joop C.; Flemming, Hans Curt

    2013-01-01

    resistance is very low compared to the expected biofilm resistance and, thus, biofilm resistance can be determined accurately. Transmembrane pressure drop was monitored. As biofilm parameters, thickness, total cell number, TOC, and extracellular polymeric

  17. Experimental Studies on the Changes in Resistivity and Its Anisotropy Using Electrical Resistivity Tomography

    Directory of Open Access Journals (Sweden)

    Tao Zhu

    2012-01-01

    Full Text Available Three measuring lines were arranged on one of free planes of magnetite cuboid samples. Apparent resistivity data were acquired by MIR-2007 resistivity meter when samples were under uniaxial compression of servocontrol YAW-5000F loadingmachine in laboratory. Then we constructed the residual resistivity images using electrical resistivity tomography (ERT and plotted the diagrams of apparent resistivity anisotropy coefficient (ARAC λ∗ and the included angle α between the major axis of apparent resistivity anisotropy ellipse and the axis of load with pressure and effective depth. Our results show that with increasing pressure, resistivity and the decreased (D region and increased (I region resistivity regions have complex behaviors, but when pressure is higher than a certain value, the average resistivity decrease and the area of D region expand gradually in all time with the increase of pressure, which may be significant to the monitoring and prediction of earthquake, volcanic activities, and large-scale geologic motions. The effects of pressure on λ∗ and α are not very outstanding for dry magnetite samples.

  18. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. Resistance of Bacteria to Biocides.

    Science.gov (United States)

    Maillard, Jean-Yves

    2018-04-01

    Biocides and formulated biocides are used worldwide for an increasing number of applications despite tightening regulations in Europe and in the United States. One concern is that such intense usage of biocides could lead to increased bacterial resistance to a product and cross-resistance to unrelated antimicrobials including chemotherapeutic antibiotics. Evidence to justify such a concern comes mostly from the use of health care-relevant bacterial isolates, although the number of studies of the resistance characteristics of veterinary isolates to biocides have increased the past few years. One problem remains the definition of "resistance" and how to measure resistance to a biocide. This has yet to be addressed globally, although the measurement of resistance is becoming more pressing, with regulators both in Europe and in the United States demanding that manufacturers provide evidence that their biocidal products will not impact on bacterial resistance. Alongside in vitro evidence of potential antimicrobial cross-resistance following biocide exposure, our understanding of the mechanisms of bacterial resistance and, more recently, our understanding of the effect of biocides to induce a mechanism(s) of resistance in bacteria has improved. This article aims to provide an understanding of the development of antimicrobial resistance in bacteria following a biocide exposure. The sections provide evidence of the occurrence of bacterial resistance and its mechanisms of action and debate how to measure bacterial resistance to biocides. Examples pertinent to the veterinary field are used where appropriate.

  20. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    Science.gov (United States)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  1. Camptothecin resistance

    DEFF Research Database (Denmark)

    Brangi, M; Litman, Thomas; Ciotti, M

    1999-01-01

    . Glucuronides were found at equal levels in both parental and resistant colon cancer cell lines for epirubicin and to a lesser extent for SN-38 and mitoxantrone. Low levels of glucuronidation could also be detected in the resistant breast cancer cells. These results were confirmed by analysis of the UGT1A...

  2. Regicide and Resistance

    DEFF Research Database (Denmark)

    Flohr, Mikkel

    2016-01-01

    This article examines the role of resistance in Michel Foucault’s political thought. The article recovers this otherwise obscured aspect of Foucault’s thought through a systematic analysis of his theoretical regicide and consequent reconceptualization of power, agency and resistance. It is argued...... that Foucault developed a highly original account of resistance, which was, and should continue to be considered, central to his thought and its critical potential. It is shown how Foucault’s concept of resistance overcomes the limitation of voluntarism and determinism, which continue to mare contemporary...... political theory, providing a passage from the critique of contemporary configurations of power to the irrepressible possibility that they may be contested and changed....

  3. The effect of electrode contact resistance and capacitive coupling on Complex Resistivity measurements

    DEFF Research Database (Denmark)

    Ingeman-Nielsen, Thomas

    2006-01-01

    The effect of electrode contact resistance and capacitive coupling on complex resistivity (CR) measurements is studied in this paper. An equivalent circuit model for the receiver is developed to describe the effects. The model shows that CR measurements are severely affected even at relatively lo...... with the contact resistance artificially increased by resistors. The results emphasize the importance of keeping contact resistance low in CR measurements....

  4. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over time, ...

  5. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing ... CVM) produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over ...

  6. Phenotypic Resistance to Antibiotics

    Directory of Open Access Journals (Sweden)

    Jose L. Martinez

    2013-04-01

    Full Text Available The development of antibiotic resistance is usually associated with genetic changes, either to the acquisition of resistance genes, or to mutations in elements relevant for the activity of the antibiotic. However, in some situations resistance can be achieved without any genetic alteration; this is called phenotypic resistance. Non-inherited resistance is associated to specific processes such as growth in biofilms, a stationary growth phase or persistence. These situations might occur during infection but they are not usually considered in classical susceptibility tests at the clinical microbiology laboratories. Recent work has also shown that the susceptibility to antibiotics is highly dependent on the bacterial metabolism and that global metabolic regulators can modulate this phenotype. This modulation includes situations in which bacteria can be more resistant or more susceptible to antibiotics. Understanding these processes will thus help in establishing novel therapeutic approaches based on the actual susceptibility shown by bacteria during infection, which might differ from that determined in the laboratory. In this review, we discuss different examples of phenotypic resistance and the mechanisms that regulate the crosstalk between bacterial metabolism and the susceptibility to antibiotics. Finally, information on strategies currently under development for diminishing the phenotypic resistance to antibiotics of bacterial pathogens is presented.

  7. Resistance and Resistant Reaction of Gossypium arboreum to the Reniform, Nematode, Rotylenchulus reniformis

    Science.gov (United States)

    Carter, William W.

    1981-01-01

    Gossypium arboreum 'Nanking CB 1402' possessed a high level of resistance to Rotylenchulus reniformis. Within 16 h, the nematode penetrated roots of resistant and susceptible cottons equally. After 36 h, significantly fewer nematodes were found in resistant roots. Larvae fed in either an endodermal or pericyclic cell and had no specificity for root tissue of a particular age. In roots of resistant G. arboreum '1402,' wall breakdown of pericyclic cells was evident after 3 d, endodermal and cortical cells collapsed, and the hypertrophied pericyclic cells disintegrated within 12 d. Cell walls immediately adjacent to the nematode's head were thickened and more safranin positive in resistant than in susceptible cotton cultivars. Several other cultivars of G. arboreum were also resistant to R. reniformis, based on nematode fecundity and percent egg reduction. PMID:19300777

  8. Metabolic Resistance in Bed Bugs

    Directory of Open Access Journals (Sweden)

    Omprakash Mittapalli

    2011-03-01

    Full Text Available Blood-feeding insects have evolved resistance to various insecticides (organochlorines, pyrethroids, carbamates, etc. through gene mutations and increased metabolism. Bed bugs (Cimex lectularius are hematophagous ectoparasites that are poised to become one of the major pests in households throughout the United States. Currently, C. lectularius has attained a high global impact status due to its sudden and rampant resurgence. Resistance to pesticides is one factor implicated in this phenomenon. Although much emphasis has been placed on target sensitivity, little to no knowledge is available on the role of key metabolic players (e.g., cytochrome P450s and glutathione S-transferases towards pesticide resistance in C. lectularius. In this review, we discuss different modes of resistance (target sensitivity, penetration resistance, behavioral resistance, and metabolic resistance with more emphasis on metabolic resistance.

  9. Molecular Detection of Antimicrobial Resistance

    Science.gov (United States)

    Fluit, Ad C.; Visser, Maarten R.; Schmitz, Franz-Josef

    2001-01-01

    The determination of antimicrobial susceptibility of a clinical isolate, especially with increasing resistance, is often crucial for the optimal antimicrobial therapy of infected patients. Nucleic acid-based assays for the detection of resistance may offer advantages over phenotypic assays. Examples are the detection of the methicillin resistance-encoding mecA gene in staphylococci, rifampin resistance in Mycobacterium tuberculosis, and the spread of resistance determinants across the globe. However, molecular assays for the detection of resistance have a number of limitations. New resistance mechanisms may be missed, and in some cases the number of different genes makes generating an assay too costly to compete with phenotypic assays. In addition, proper quality control for molecular assays poses a problem for many laboratories, and this results in questionable results at best. The development of new molecular techniques, e.g., PCR using molecular beacons and DNA chips, expands the possibilities for monitoring resistance. Although molecular techniques for the detection of antimicrobial resistance clearly are winning a place in routine diagnostics, phenotypic assays are still the method of choice for most resistance determinations. In this review, we describe the applications of molecular techniques for the detection of antimicrobial resistance and the current state of the art. PMID:11585788

  10. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... CVM) produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over time, ...

  11. Fate of antibiotic resistant bacteria and genes during wastewater chlorination: implication for antibiotic resistance control.

    Directory of Open Access Journals (Sweden)

    Qing-Bin Yuan

    Full Text Available This study investigated fates of nine antibiotic-resistant bacteria as well as two series of antibiotic resistance genes in wastewater treated by various doses of chlorine (0, 15, 30, 60, 150 and 300 mg Cl2 min/L. The results indicated that chlorination was effective in inactivating antibiotic-resistant bacteria. Most bacteria were inactivated completely at the lowest dose (15 mg Cl2 min/L. By comparison, sulfadiazine- and erythromycin-resistant bacteria exhibited tolerance to low chlorine dose (up to 60 mg Cl2 min/L. However, quantitative real-time PCRs revealed that chlorination decreased limited erythromycin or tetracycline resistance genes, with the removal levels of overall erythromycin and tetracycline resistance genes at 0.42 ± 0.12 log and 0.10 ± 0.02 log, respectively. About 40% of erythromycin-resistance genes and 80% of tetracycline resistance genes could not be removed by chlorination. Chlorination was considered not effective in controlling antimicrobial resistance. More concern needs to be paid to the potential risk of antibiotic resistance genes in the wastewater after chlorination.

  12. Hydraulic resistance of biofilms

    KAUST Repository

    Dreszer, C.

    2013-02-01

    Biofilms may interfere with membrane performance in at least three ways: (i) increase of the transmembrane pressure drop, (ii) increase of feed channel (feed-concentrate) pressure drop, and (iii) increase of transmembrane passage. Given the relevance of biofouling, it is surprising how few data exist about the hydraulic resistance of biofilms that may affect the transmembrane pressure drop and membrane passage. In this study, biofilms were generated in a lab scale cross flow microfiltration system at two fluxes (20 and 100Lm-2h-1) and constant cross flow (0.1ms-1). As a nutrient source, acetate was added (1.0mgL-1 acetate C) besides a control without nutrient supply. A microfiltration (MF) membrane was chosen because the MF membrane resistance is very low compared to the expected biofilm resistance and, thus, biofilm resistance can be determined accurately. Transmembrane pressure drop was monitored. As biofilm parameters, thickness, total cell number, TOC, and extracellular polymeric substances (EPS) were determined, it was demonstrated that no internal membrane fouling occurred and that the fouling layer actually consisted of a grown biofilm and was not a filter cake of accumulated bacterial cells. At 20Lm-2h-1 flux with a nutrient dosage of 1mgL-1 acetate C, the resistance after 4 days reached a value of 6×1012m-1. At 100Lm-2h-1 flux under the same conditions, the resistance was 5×1013m-1. No correlation of biofilm resistance to biofilm thickness was found; Biofilms with similar thickness could have different resistance depending on the applied flux. The cell number in biofilms was between 4×107 and 5×108 cellscm-2. At this number, bacterial cells make up less than a half percent of the overall biofilm volume and therefore did not hamper the water flow through the biofilm significantly. A flux of 100Lm-2h-1 with nutrient supply caused higher cell numbers, more biomass, and higher biofilm resistance than a flux of 20Lm-2h-1. However, the biofilm thickness

  13. Cross-resistance and biochemical mechanisms of resistance to indoxacarb in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Zhang, Shuzhen; Zhang, Xiaolei; Shen, Jun; Li, Dongyang; Wan, Hu; You, Hong; Li, Jianhong

    2017-08-01

    Indoxacarb belongs to a class of insecticides known as oxadiazines and is the first commercialized pyrazoline-type voltage-dependent sodium channel blocker. A moderate level of resistance to indoxacarb has evolved in field populations of Plutella xylostella from Central China. In the present study, cross-resistance, resistance stability and metabolic mechanisms of indoxacarb resistance were investigated in this moth species. A P. xylostella strain with a high level of resistance to indoxacarb was obtained through continuous selection in the laboratory. The strain showed cross-resistance to metaflumizone, beta-cypermethrin and chlorfenapyr, but no resistance to cyantraniliprole, chlorantraniliprole, abamectin, chlorfluazuron, spinosad and diafenthiuron compared with the susceptible strain. Synergism tests revealed that piperonyl butoxide (PBO) (synergistic ratio, SR=7.8) and diethyl maleate (DEF) (SR=3.5) had considerable synergistic effects on indoxacarb toxicity in the resistant strain (F 58 ). Enzyme activity data showed there was an approximate 5.8-fold different in glutathione S-transferase (GST) and a 6.8-fold different in cytochrome P450 monooxygenase between the resistant strain (F 58 ) and susceptible strain, suggesting that the increased activity of these two enzymes is likely the main detoxification mechanism responsible for the species' resistance to indoxacarb. These results will be helpful for insecticide resistance management strategies to delay the development of indoxacarb resistance in fields. Copyright © 2017. Published by Elsevier Inc.

  14. Multi-Electrode Resistivity Probe for Investigation of Local Temperature Inside Metal Shell Battery Cells via Resistivity: Experiments and Evaluation of Electrical Resistance Tomography

    Directory of Open Access Journals (Sweden)

    Xiaobin Hong

    2015-01-01

    Full Text Available Direct Current (DC electrical resistivity is a material property that is sensitive to temperature changes. In this paper, the relationship between resistivity and local temperature inside steel shell battery cells (two commercial 10 Ah and 4.5 Ah lithium-ion cells is innovatively studied by Electrical Resistance Tomography (ERT. The Schlumberger configuration in ERT is applied to divide the cell body into several blocks distributed in different levels, where the apparent resistivities are measured by multi-electrode surface probes. The investigated temperature ranges from −20 to 80 °C. Experimental results have shown that the resistivities mainly depend on temperature changes in each block of the two cells used and the function of the resistivity and temperature can be fitted to the ERT-measurement results in the logistical-plot. Subsequently, the dependence of resistivity on the state of charge (SOC is investigated, and the SOC range of 70%–100% has a remarkable impact on the resistivity at low temperatures. The proposed approach under a thermal cool down regime is demonstrated to monitor the local transient temperature.

  15. Oxidation-resistant cermet

    Science.gov (United States)

    Phillips, W. M.

    1977-01-01

    Chromium metal alloys and chromium oxide ceramic are combined to produce cermets with oxidation-resistant properties. Application of cermets includes use in hot corrosive environments requiring strong resistive materials.

  16. Low Prevalence of Carbapenem-Resistant Bacteria in River Water: Resistance Is Mostly Related to Intrinsic Mechanisms.

    Science.gov (United States)

    Tacão, Marta; Correia, António; Henriques, Isabel S

    2015-10-01

    Carbapenems are last-resort antibiotics to handle serious infections caused by multiresistant bacteria. The incidence of resistance to these antibiotics has been increasing and new resistance mechanisms have emerged. The dissemination of carbapenem resistance in the environment has been overlooked. The main goal of this research was to assess the prevalence and diversity of carbapenem-resistant bacteria in riverine ecosystems. The presence of frequently reported carbapenemase-encoding genes was inspected. The proportion of imipenem-resistant bacteria was on average 2.24 CFU/ml. Imipenem-resistant strains (n=110) were identified as Pseudomonas spp., Stenotrophomonas maltophilia, Aeromonas spp., Chromobacterium haemolyticum, Shewanella xiamenensis, and members of Enterobacteriaceae. Carbapenem-resistant bacteria were highly resistant to other beta-lactams such as quinolones, aminoglycosides, chloramphenicol, tetracyclines, and sulfamethoxazole/trimethoprim. Carbapenem resistance was mostly associated with intrinsically resistant bacteria. As intrinsic resistance mechanisms, we have identified the blaCphA gene in 77.3% of Aeromonas spp., blaL1 in all S. maltophilia, and blaOXA-48-like in all S. xiamenensis. As acquired resistance mechanisms, we have detected the blaVIM-2 gene in six Pseudomonas spp. (5.45%). Integrons with gene cassettes encoding resistance to aminoglycosides (aacA and aacC genes), trimethoprim (dfrB1b), and carbapenems (blaVIM-2) were found in Pseudomonas spp. Results suggest that carbapenem resistance dissemination in riverine ecosystems is still at an early stage. Nevertheless, monitoring these aquatic compartments for the presence of resistance genes and its host organisms is essential to outline strategies to minimize resistance dissemination.

  17. Antimicrobial resistance of thermophilic Campylobacter

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Engberg, J.

    2001-01-01

    Campylobacter has become the leading cause of zoonotic enteric infections in developed and developing countries world-wide. Antimicrobial resistance has emerged among Campylobacter mainly as a consequence of the use of antimicrobial agents in food animal production. Resistance to drugs of choice...... for the treatment of infections, macrolides and fluoroquinolones has emerged as a clinical problem and interventions to reduce this are recommended. Resistance to fluoroquinolones and macrolides is mediated by chromosomal mutations. Resistance to other relevant antimicrobial agents, mediated by acquired resistance...... genes, has not become widespread so far. However, resistance genes originating from both Gram-positive and Gram-negative bacterial species have been found, showing the potential for acquired resistance to emerge in Campylobacter....

  18. Resistance patterns and trends of extensively drug-resistant tuberculosis: 5-year experience

    Directory of Open Access Journals (Sweden)

    Amresh Kumar Singh

    2013-12-01

    Full Text Available Objective:Extensively drug-resistant tuberculosis (XDR-TB strains were emerged when multidrug-resistant TB (MDR- TB was inadequately treated. Inadequate treatment of MDR-TB cases may result in additional resistance especially non-XDR-TB and then XDR-TB. The aim of this study was to know the prevalence, resistance patterns and trends of the XDR-TB strains among the MDR-TB at a tertiary care hospital in Lucknow, India Methods: A total of 430 Mycobacterium isolates were underwent NAP test and TB MPT64 Ag test for the identification of Mycobacterium tuberculosis complex (MTBC. Drug-susceptibility test (DST was performed over MTBC for the first line drugs by 1% proportion method (Bactec and for the second-line drugs by 1% proportion method (Lowenstein- Jensen media. The XDR-TB status was further confirmed by line probe assay (GenoType® MTBDRsl assay. Results: Among the 430 isolates of mycobacterium, 365 (84.9% were MTBC and 139 (38.1% were MDR-TB respectively. Further 97 MDR-TB from “highly suspected drug resistant-TB (DR-TB” cases among MDR-TB were tested with second line drugs in which 15 (15.5% XDR-TB and 82 (84.5% were non-XDR-TB. Regarding XDR-TB status, using the 1% proportion method a 100% agreement was seen with the GenoType® MTBDRsl assay. Resistance patterns of XDR-TB were as; 10/15 (66.7% as isoniazid + rifampicin + ciprofloxacin + amikacin resistance and 5/15 (33.3% as isoniazid + rifampicin + ciprofloxacin + amikacin + kanamycin resistance. Conclusion:The prevalence of XDR-TB was 15.5% among MDR-TB. Hence laboratory testing of “highly suspected drug resistant-TB” isolates should be done for both first and second line drugs simultaneously especially in developing countries.J Microbiol Infect Dis 2013;3(4: 169-175

  19. Challenges to Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng

    This report originates from the compulsory defense during my Ph.D. study at the Technical University of Denmark. Resistance welding is an old and well-proven technology. Yet the emergence of more and more new materials, new designs, invention off new joining techniques, and more stringent...... requirement in quality have imposed challenges to the resistance welding. More some research and development have to be done to adapt the old technology to the manufacturing industry of the 21st century. In the 1st part of the report, the challenging factors to the resistance welding are reviewed. Numerical...... simulation of resistance welding has been under development for many years. Yet it is no easy to make simulation results reliable and accurate because of the complexity of resistance welding process. In the 2nd part of the report numerical modeling of resistance welding is reviewed, some critical factors...

  20. Method of separate determination of high-ohmic sample resistance and contact resistance

    Directory of Open Access Journals (Sweden)

    Vadim A. Golubiatnikov

    2015-09-01

    Full Text Available A method of separate determination of two-pole sample volume resistance and contact resistance is suggested. The method is applicable to high-ohmic semiconductor samples: semi-insulating gallium arsenide, detector cadmium-zinc telluride (CZT, etc. The method is based on near-contact region illumination by monochromatic radiation of variable intensity from light emitting diodes with quantum energies exceeding the band gap of the material. It is necessary to obtain sample photo-current dependence upon light emitting diode current and to find the linear portion of this dependence. Extrapolation of this linear portion to the Y-axis gives the cut-off current. As the bias voltage is known, it is easy to calculate sample volume resistance. Then, using dark current value, one can determine the total contact resistance. The method was tested for n-type semi-insulating GaAs. The contact resistance value was shown to be approximately equal to the sample volume resistance. Thus, the influence of contacts must be taken into account when electrophysical data are analyzed.

  1. Efflux-mediated antimicrobial resistance.

    Science.gov (United States)

    Poole, Keith

    2005-07-01

    Antibiotic resistance continues to plague antimicrobial chemotherapy of infectious disease. And while true biocide resistance is as yet unrealized, in vitro and in vivo episodes of reduced biocide susceptibility are common and the history of antibiotic resistance should not be ignored in the development and use of biocidal agents. Efflux mechanisms of resistance, both drug specific and multidrug, are important determinants of intrinsic and/or acquired resistance to these antimicrobials, with some accommodating both antibiotics and biocides. This latter raises the spectre (as yet generally unrealized) of biocide selection of multiple antibiotic-resistant organisms. Multidrug efflux mechanisms are broadly conserved in bacteria, are almost invariably chromosome-encoded and their expression in many instances results from mutations in regulatory genes. In contrast, drug-specific efflux mechanisms are generally encoded by plasmids and/or other mobile genetic elements (transposons, integrons) that carry additional resistance genes, and so their ready acquisition is compounded by their association with multidrug resistance. While there is some support for the latter efflux systems arising from efflux determinants of self-protection in antibiotic-producing Streptomyces spp. and, thus, intended as drug exporters, increasingly, chromosomal multidrug efflux determinants, at least in Gram-negative bacteria, appear not to be intended as drug exporters but as exporters with, perhaps, a variety of other roles in bacterial cells. Still, given the clinical significance of multidrug (and drug-specific) exporters, efflux must be considered in formulating strategies/approaches to treating drug-resistant infections, both in the development of new agents, for example, less impacted by efflux and in targeting efflux directly with efflux inhibitors.

  2. Antimicrobial resistance 1979-2009 at Karolinska hospital, Sweden: normalized resistance interpretation during a 30-year follow-up on Staphylococcus aureus and Escherichia coli resistance development.

    Science.gov (United States)

    Kronvall, Göran

    2010-09-01

    To utilize a material of inhibition zone diameter measurements from disc diffusion susceptibility tests between 1979 and 2009, an objective setting of epidemiological breakpoints was necessary because of methodological changes. Normalized resistance interpretation (NRI) met this need and was applied to zone diameter histograms for Staphylococcus aureus and Escherichia coli isolates. The results confirmed a slow resistance development as seen in Northern countries. The S. aureus resistance levels for erythromycin, clindamycin and fusidic acid in 2009 were 3.2%, 1.8% and 1.4% with denominator correction. A rise in resistance to four antimicrobials in 1983 was probably because of a spread of resistant Methicillin Susceptible Staphylococcus Aureus (MSSA). For E. coli, the denominator-corrected resistance levels in 2009 were 27% for ampicillin, around 3% for third-generation cephalosporins, 0.1% for imipenem, 2.5% for gentamicin, 19% for trimethoprim, 4.5% for co-trimoxazole, 1.2% for nitrofurantoin and 9% for ciprofloxacin. The temporal trends showed a rise in fluoroquinolone resistance from 1993, a parallel increase in gentamicin resistance, a substantial increase in trimethoprim and sulphonamide resistance in spite of decreased consumption, and a steady rise in ampicillin resistance from a constant level before 1989. A short review of global resistance surveillance studies is included.

  3. Antibiotic resistance in animals.

    Science.gov (United States)

    Barton, Mary D; Pratt, Rachael; Hart, Wendy S

    2003-01-01

    There is currently no systematic surveillance or monitoring of antibiotic resistance in Australian animals. Registration of antibiotics for use in animals is tightly controlled and has been very conservative. Fluoroquinolones have not been registered for use in food producing animals and other products have been removed from the market because of human health concerns. In the late 1970s, the Animal Health Committee coordinated a survey of resistance in Salmonella and Escherichia coli isolates from cattle, pigs and poultry and in bovine Staphylococcus aureus. Some additional information is available from published case reports. In samples collected prior to the withdrawal of avoparcin from the market, no vancomycin resistant Enterococcus faecium or Enterococcus faecalis were detected in samples collected from pigs, whereas some vanA enterococci, including E. faecium and E. faecalis, were found in chickens. No vanB enterococci were detected in either species. Virginiamycin resistance was common in both pig and poultry isolates. Multiple resistance was common in E. coli and salmonellae isolates. No fluoroquinolone resistance was found in salmonellae, E. coli or Campylobacter. Beta-lactamase production is common in isolates from bovine mastitis, but no methicillin resistance has been detected. However, methicillin resistance has been reported in canine isolates of Staphylococcus intermedius and extended spectrum beta-lactamase producing E. coli has been found in dogs.

  4. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer

    International Nuclear Information System (INIS)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-01-01

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns. The online version of this article (doi:10.1186/s12885-016-2452-5) contains supplementary material, which is available to authorized users

  5. Ciprofloxacin-resistant Escherichia coli in Central Greece: mechanisms of resistance and molecular identification

    Directory of Open Access Journals (Sweden)

    Mavroidi Angeliki

    2012-12-01

    Full Text Available Abstract Background Fluoroquinolone resistant E. coli isolates, that are also resistant to other classes of antibiotics, is a significant challenge to antibiotic treatment and infection control policies. In Central Greece a significant increase of ciprofloxacin-resistant Escherichia coli has occurred during 2011, indicating the need for further analysis. Methods A total of 106 ciprofloxacin-resistant out of 505 E. coli isolates consecutively collected during an eight months period in a tertiary Greek hospital of Central Greece were studied. Antimicrobial susceptibility patterns and mechanisms of resistance to quinolones were assessed, whereas selected isolates were further characterized by multilocus sequence typing and β-lactamase content. Results Sequence analysis of the quinolone-resistance determining region of the gyrA and parC genes has revealed that 63% of the ciprofloxacin-resistant E. coli harbored a distinct amino acid substitution pattern (GyrA:S83L + D87N; ParC:S80I + E84V, while 34% and 3% carried the patterns GyrA:S83L + D87N; ParC:S80I and GyrA:S83L + D87N; ParC:S80I + E84G respectively. The aac (6’-1b-cr plasmid-mediated quinolone resistance determinant was also detected; none of the isolates was found to carry the qnrA, qnrB and qnrS. Genotyping of a subset of 35 selected ciprofloxacin-resistant E. coli by multilocus sequence typing has revealed the presence of nine sequence types; ST131 and ST410 were the most prevalent and were exclusively correlated with hospital and health care associated infections, while strains belonging to STs 393, 361 and 162 were associated with community acquired infections. The GyrA:S83L + D87N; ParC:S80I + E84V substitution pattern was found exclusively among ST131 ciprofloxacin-resistant E. coli. Extended-spectrum β-lactamase-positive ST131 ciprofloxacin-resistant isolates produced CTX-M-type enzymes; eight the CTX-M-15 and one the CTX-M-3 variant. CTX-M-1 like and KPC-2 enzymes were detected

  6. Predictable Phenotypes of Antibiotic Resistance Mutations.

    Science.gov (United States)

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  7. Organization of a resistance gene cluster linked to rhizomania resistance in sugar beet

    Science.gov (United States)

    Genetic resistance to rhizomania has been in use for over 40 years. Characterization of the molecular basis for susceptibility and resistance has proved challenging. Nucleotide-binding leucine-rich-repeat-containing (NB-LRR) genes have been implicated in numerous gene-for-gene resistance interaction...

  8. Glyphosate-Resistant Goosegrass from Mississippi

    Directory of Open Access Journals (Sweden)

    Vijay K. Nandula

    2013-05-01

    Full Text Available A suspected glyphosate-resistant goosegrass [Eleusine indica (L. Gaertn.] population, found in Washington County, Mississippi, was studied to determine the level of resistance and whether the resistance was due to a point mutation, as was previously identified in a Malaysian population. Whole plant dose response assays indicated a two- to four-fold increase in resistance to glyphosate. Leaf disc bioassays based on a glyphosate-dependent increase in shikimate levels indicated a five- to eight-fold increase in resistance. Sequence comparisons of messenger RNA for epsps, the gene encoding the enzyme 5-enolpyruvylshikimate-3-phosphate synthase, from resistant and sensitive goosegrass, revealed a cytosine to thymine nucleotide change at position 319 in the resistant accessions. This single nucleotide polymorphism causes a proline to serine amino acid substitution at position 106 in 5-enolpyruvylshikimate-3-phosphate synthase. A real-time polymerase chain reaction assay using DNA probes specific for the nucleotide change at position 319 was developed to detect this polymorphism. Goosegrass from 42 locations were screened, and the results indicated that glyphosate-resistant goosegrass remained localized to where it was discovered. Pendimethalin, s-metolachlor, clethodim, paraquat and fluazifop controlled resistant goosegrass 93% to 100%, indicating that several control options for glyphosate-resistant goosegrass are available.

  9. Treatment Options for Carbapenem-Resistant and Extensively Drug-Resistant Acinetobacter baumannii Infections

    Science.gov (United States)

    Viehman, J. Alexander; Nguyen, Minh-Hong; Doi, Yohei

    2014-01-01

    Acinetobacter baumannii is a leading cause of healthcare-associated infections worldwide. Due to various intrinsic and acquired mechanisms of resistance, most β-lactam agents are not effective against many strains, and carbapenems have played an important role in therapy. Recent trends show many infections are caused by carbapenem-resistant, or even extensively drug-resistant (XDR) strains, for which effective therapy is not well established. Evidence to date suggests that colistin constitutes the backbone of therapy, but the unique pharmacokinetic properties of colistin have led many to suggest the use of combination antimicrobial therapy. However, the combination of agents and dosing regimens that delivers the best clinical efficacy while minimizing toxicity is yet to be defined. Carbapenems, sulbactam, rifampin and tigecycline have been the most studied in the context of combination therapy. Most data regarding therapy for invasive, resistant A. baumannii infections come from uncontrolled case series and retrospective analyses, though some clinical trials have been completed and others are underway. Early institution of appropriate antimicrobial therapy is shown to consistently improve survival of patients with carbapenem-resistant and XDR A. baumannii infection, but the choice of empiric therapy in these infections remains an open question. This review summarizes the most current knowledge regarding the epidemiology, mechanisms of resistance, and treatment considerations of carbapenem-resistant and XDR A. baumannii. PMID:25091170

  10. Biological improvement of radiation resistance

    Energy Technology Data Exchange (ETDEWEB)

    Chun, K J; Lee, Y K; Kim, J S; Kim, J K; Lee, S J

    2000-08-01

    To investigate the mechanisms of gene action related to the radiation resistance in microorganisms could be essentially helpful for the development of radiation protectants and hormeric effects of low dose radiation. This book described isolation of radiation-resistant microorganisms, induction of radiation-resistant and functionally improved mutants by gamma-ray radiation, cloning and analysis of the radiation resistance related genes and analysis of the expressed proteins of the radiation resistant related genes.

  11. Biological improvement of radiation resistance

    International Nuclear Information System (INIS)

    Chun, K. J.; Lee, Y. K.; Kim, J. S.; Kim, J. K.; Lee, S. J.

    2000-08-01

    To investigate the mechanisms of gene action related to the radiation resistance in microorganisms could be essentially helpful for the development of radiation protectants and hormeric effects of low dose radiation. This book described isolation of radiation-resistant microorganisms, induction of radiation-resistant and functionally improved mutants by gamma-ray radiation, cloning and analysis of the radiation resistance related genes and analysis of the expressed proteins of the radiation resistant related genes

  12. Biotechnology: herbicide-resistant crops

    Science.gov (United States)

    Transgenic, herbicide-resistant (HR) crops are planted on about 80% of the land covered by transgenic crops. More than 90% of HR crios are glyphosate-resistant (GR) crops, the others being resistant to glufosinate. The wide-scale adoption of HR crops, largely for economic reasons, has been the mos...

  13. Resistance in Everyday Life

    DEFF Research Database (Denmark)

    This book is about resistance in everyday life, illustrated through empirical contexts from different parts of the world. Resistance is a widespread phenomenon in biological, social and psychological domains of human cultural development. Yet, it is not well articulated in the academic literature....... The contributors deal with strategies for handling dissent by individuals or groups, specifically dissent through resistance. Resistance can be a location of intense personal, interpersonal and cultural negotiation, and that is the primary reason for interest in this phenomenon. Ordinary life events contain...

  14. Resistent tuberkulose i Danmark

    DEFF Research Database (Denmark)

    Thomsen, V O; Johansen, I S; Bauer, J O

    2001-01-01

    INTRODUCTION: Increased rates of multidrug-resistant (MDR) tuberculosis (TB) has been reported from countries close to Denmark. We evaluated the incidence of drug resistance in Denmark in order to determine the magnitude of the problem. MATERIALS AND METHODS: Susceptibility testing was performed......-cluster. Among all patients with isoniazid- and streptomycin-resistance, 77.0% had clustered strains. DISCUSSION: In conclusion, although drug resistance among untreated Danes was close to the rate estimated in good national programmes, close monitoring is needed in future years, as active transmission...

  15. Drug resistance in Mexico: results from the National Survey on Drug-Resistant Tuberculosis.

    Science.gov (United States)

    Bojorquez-Chapela, I; Bäcker, C E; Orejel, I; López, A; Díaz-Quiñonez, A; Hernández-Serrato, M I; Balandrano, S; Romero, M; Téllez-Rojo Solís, M M; Castellanos, M; Alpuche, C; Hernández-Ávila, M; López-Gatell, H

    2013-04-01

    To present estimations obtained from a population-level survey conducted in Mexico of prevalence rates of mono-, poly- and multidrug-resistant strains among newly diagnosed cases of pulmonary tuberculosis (TB), as well as the main factors associated with multidrug resistance (combined resistance to isoniazid and rifampicin). Study data came from the National Survey on TB Drug Resistance (ENTB-2008), a nationally representative survey conducted during 2008-2009 in nine states with a stratified cluster sampling design. Samples were obtained for all newly diagnosed cases of pulmonary TB in selected sites. Drug susceptibility testing (DST) was performed for anti-tuberculosis drugs. DST results were obtained for 75% of the cases. Of these, 82.2% (95%CI 79.5-84.7) were susceptible to all drugs. The prevalence of multidrug-resistant TB (MDR-TB) was estimated at 2.8% (95%CI 1.9-4.0). MDR-TB was associated with previous treatment (OR 3.3, 95%CI 1.1-9.4). The prevalence of drug resistance is relatively low in Mexico. ENTB-2008 can be used as a baseline for future follow-up of drug resistance.

  16. Resistência de plantas daninhas aos herbicidas Weed resistance to herbicides

    Directory of Open Access Journals (Sweden)

    Pedro J. Christoffoleti

    1994-01-01

    Full Text Available A resistência de plantas daninhas aos herbicidas ocorre em função de um processo evolutivo. O desenvolvimento de biótipos de plantas daninhas resistentes é imposto pela agricultura moderna, através da pressão de seleção causada pelo uso intensivo dos herbicidas. O conhecimento dos mecanismos e fatores que favorecem o aparecimento de biótipos de plantas daninhas resistentes é fundamental para que técnicas de manejo sejam utilizadas no sentido de evitar ou retardar o aparecimento de plantas resistentes em uma área. São poucos os relatos ou citações de literatura no Brasil. Sendo assim, este trabalho de revisão procura relatar os principais avanços e descobertas na área de plantas daninhas resistentes aos herbicidas.Weed herbicide resistance has evolved from weed evolution. The modern agriculture is responsible for this evolution because of the intensive use of herbicides. The knowledge of mechanisms and factors that influence the weed herbicide resistance play an important role in the weed manegement techniques used to avoid or delay herbicide resistance appearence. There are not many report or scientific papers about herbi cide resistance in Brasil. Therefore, this literature review aims to provide information about the main advances and discoveries in the field of weed herbicide resistance.

  17. Heat resistant/radiation resistant cable and incore structure test device for FBR type reactor

    International Nuclear Information System (INIS)

    Tanimoto, Hajime; Shiono, Takeo; Sato, Yoshimi; Ito, Kazumi; Sudo, Shigeaki; Saito, Shin-ichi; Mitsui, Hisayasu.

    1995-01-01

    A heat resistant/radiation resistant coaxial cable of the present invention comprises an insulation layer, an outer conductor and a protection cover in this order on an inner conductor, in which the insulation layer comprises thermoplastic polyimide. In the same manner, a heat resistant/radiation resistant power cable has an insulation layer comprising thermoplastic polyimide on a conductor, and is provided with a protection cover comprising braid of alamide fibers at the outer circumference of the insulation layer. An incore structure test device for an FBR type reactor comprises the heat resistant/radiation resistant coaxial cable and/or the power cable. The thermoplastic polyimide can be extrusion molded, and has excellent radiation resistant by the extrusion, as well as has high dielectric withstand voltage, good flexibility and electric characteristics at high temperature. The incore structure test device for the FBR type reactor of the present invention comprising such a cable has excellent reliability and durability. (T.M.)

  18. Antimicrobial (Drug) Resistance

    Science.gov (United States)

    ... with facebook share with twitter share with linkedin Antimicrobial (Drug) Resistance Go to Information for Researchers ► Credit: ... and infectious diseases. Why Is the Study of Antimicrobial (Drug) Resistance a Priority for NIAID? Over time, ...

  19. Resistance seam welding

    International Nuclear Information System (INIS)

    Schueler, A.W.

    1977-01-01

    The advantages and disadvantages of the resistance seam welding process are presented. Types of seam welds, types of seam welding machines, seam welding power supplies, resistance seam welding parameters and seam welding characteristics of various metals

  20. Predicting resistance by mutagenesis: lessons from 45 years of MBC resistance

    Directory of Open Access Journals (Sweden)

    Nichola J. Hawkins

    2016-11-01

    Full Text Available When a new fungicide class is introduced, it is useful to anticipate the resistance risk in advance, attempting to predict both risk level and potential mechanisms. One tool for the prediction of resistance risk is laboratory selection for resistance, with the mutational supply increased through UV or chemical mutagenesis. This enables resistance to emerge more rapidly than in the field, but may produce mutations that would not emerge under field conditions.The methyl-benzimidazole carbamates (MBCs were the first systemic single-site agricultural fungicides, and the first fungicides affected by rapid evolution of target-site resistance. MBC resistance has now been reported in over 90 plant pathogens in the field, and laboratory mutants have been studied in nearly 30 species.The most common field mutations, including β-tubulin E198A/K/G, F200Y and L240F, have all been identified in laboratory mutants. However, of 28 mutations identified in laboratory mutants, only nine have been reported in the field. Therefore, the predictive value of mutagenesis studies would be increased by understanding which mutations are likely to emerge in the field.Our review of the literature indicates that mutations with high resistance factors, and those found in multiple species, are more likely to be reported in the field. However, there are many exceptions, possibly due to fitness penalties. Whether a mutation occurred in the same species appears less relevant, perhaps because β-tubulin is highly conserved so functional constraints are similar across all species. Predictability of mutations in other target sites will depend on the level and conservation of constraints.

  1. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  2. Quantitative genetic tools for insecticide resistance risk assessment: estimating the heritability of resistance

    Science.gov (United States)

    Michael J. Firko; Jane Leslie Hayes

    1990-01-01

    Quantitative genetic studies of resistance can provide estimates of genetic parameters not available with other types of genetic analyses. Three methods are discussed for estimating the amount of additive genetic variation in resistance to individual insecticides and subsequent estimation of heritability (h2) of resistance. Sibling analysis and...

  3. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    Science.gov (United States)

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (pMumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  4. Vancomycin Resistance in Staphylococcus aureus


    Science.gov (United States)

    McGuinness, Will A.; Malachowa, Natalia; DeLeo, Frank R.

    2017-01-01

    The evolution of Staphylococcus aureus during the modern antibiotic era has been delineated by distinct strain emergence events, many of which include acquisition of antibiotic resistance. The relative high burden of methicillin-resistant S. aureus (MRSA) in healthcare and community settings is a major concern worldwide. Vancomycin, a glycopeptide antibiotic that inhibits cell wall biosynthesis, remains a drug of choice for treatment of severe MRSA infections. S. aureus strains exhibiting increased resistance to vancomycin, known as vancomycin intermediate-resistant S. aureus (VISA) (MIC = 4-8 µg/mL), were discovered in the 1990s. The molecular basis of resistance in VISA is polygenic and involves stepwise mutations in genes encoding molecules predominantly involved in cell envelope biosynthesis. S. aureus isolates with complete resistance to vancomycin (MIC ≥ 16 µg/mL) are termed vancomycin-resistant S. aureus (VRSA)—they were first reported in the U.S. in 2002. Resistance in VRSA is conferred by the vanA gene and operon, which is present on a plasmid. Although treatment of VRSA infections is challenging, the total number of human VRSA infections to date is limited (14 in the U.S.). By comparison, the burden of VISA is relatively high and the molecular mechanisms of resistance are less well-defined. VISA are associated with persistent infections, vancomycin treatment failure, and poor clinical outcomes. Here, we review in brief progress made toward understanding the acquisition of antibiotic resistance in S. aureus, with an emphasis on the molecular mechanisms underlying vancomycin resistance. PMID:28656013

  5. Reducing Resistance

    DEFF Research Database (Denmark)

    Lindell, Johanna

    care may influence decisions on antibiotic use. Based on video-and audio recordings of physician-patient consultations it is investigated how treatment recommendations are presented, can be changed, are forecast and explained, and finally, how they seemingly meet resistance and how this resistance......Antibiotic resistance is a growing public health problem both nationally and internationally, and efficient strategies are needed to reduce unnecessary use. This dissertation presents four research studies, which examine how communication between general practitioners and patients in Danish primary...... is responded to.The first study in the dissertation suggests that treatment recommendations on antibiotics are often done in a way that encourages patient acceptance. In extension of this, the second study of the dissertation examines a case, where acceptance of such a recommendation is changed into a shared...

  6. Multidrug Resistance in Infants and Children

    Directory of Open Access Journals (Sweden)

    Gian Maria Pacifici

    2018-02-01

    Full Text Available Bacterial infections may cause disease and death. Infants and children are often subject to bacterial infections. Antimicrobials kill bacteria protecting the infected patients andreducing the risk of morbidity and mortality caused by bacteria. The antibiotics may lose their antibacterial activity when they become resistant to a bacteria. The resistance to different antibiotics in a bacteria is named multidrug-resistance. Gram-negative bacilli, especially Escherichia coli, Klebsiella, Enterobacter, Salmonella, Shigella, Pseudomonas, Streptococcus, and Haemophilus influenzae type b, may become resistant. Amikacin ampicillin, amoxicillin, amoxiclav, cefuroxime, cefotaxime, ceftazidime, cefoperazone tetracycline, chloramphenicol, ciprofloxacin, and gentamicin may cause bacterial-resistance. Resistance to bacteria for several pathogens makes complications in the treatment of infections caused by them. Salmonella strains may become resistant to ampicillin, cephalotin, ceftriaxone, gentamicin, amikacin, trimethoprim-sulfamethoxazole, chloramphenicol, and tetracycline. Shigella strains may become resistant to ampicillin, cotrimoxazole, chloramphenicol, and streptomycin. Multidrug-resistance of Streptococcus pneumoniae may be due to β-lactams, macrolides, tetracycline, chloramphenicol, and trimethoprim-sulfamethoxazole. Multidrug-resistance of Pseudomonas aeruginosa may become resistant to β-lactams, chloramphenicol, trimethoprim-sulfamethoxazole, and tetracycline. The antibacterial activity against Haemophilus strains may occur with ampicillin, sulbactam-ampicillin, trimethoprim-sulfamethoxazole, gentamicin, chloramphenicol, and ciprofloxacin. Multidrug-resistance of the Klebsiella species may be due with ampicillin, cefotaxime, cefuroxime, co-amxilav, mezlocillin, chloramphenicol, gentamicin, and ceftazidime. Multidrug-resistance of Escherichia coli may be caused by ampicillin, cotrimoxazole, chloramphenicol, ceftriaxone, and ceftazidime. Vibrio

  7. Triazole resistance surveillance in Aspergillus fumigatus.

    Science.gov (United States)

    Resendiz Sharpe, Agustin; Lagrou, Katrien; Meis, Jacques F; Chowdhary, Anuradha; Lockhart, Shawn R; Verweij, Paul E

    2018-04-01

    Triazole resistance is an increasing concern in the opportunistic mold Aspergillus fumigatus. Resistance can develop through exposure to azole compounds during azole therapy or in the environment. Resistance mutations are commonly found in the Cyp51A-gene, although other known and unknown resistance mechanisms may be present. Surveillance studies show triazole resistance in six continents, although the presence of resistance remains unknown in many countries. In most countries, resistance mutations associated with the environment dominate, but it remains unclear if these resistance traits predominately migrate or arise locally. Patients with triazole-resistant aspergillus disease may fail to antifungal therapy, but only a limited number of cohort studies have been performed that show conflicting results. Treatment failure might be due to diagnostic delay or due to the limited number of alternative treatment options. The ISHAM/ECMM Aspergillus Resistance Surveillance working group was set up to facilitate surveillance studies and stimulate international collaborations. Important aims are to determine the resistance epidemiology in countries where this information is currently lacking, to gain more insight in the clinical implications of triazole resistance through a registry and to unify nomenclature through consensus definitions.

  8. Prevalence of plasmid-mediated quinolone resistance determinants among oxyiminocephalosporin-resistant Enterobacteriaceae in Argentina

    Directory of Open Access Journals (Sweden)

    Giovanna Rincon Cruz

    2013-11-01

    Full Text Available High quinolone resistance rates were observed among oxyiminocephalosporin-resistant enterobacteria. In the present study, we searched for the prevalence of plasmid-mediated quinolone resistance (PMQR genes within the 55 oxyiminocephalosporin-resistant enterobacteria collected in a previous survey. The main PMQR determinants were aac(6'-Ib-cr and qnrB, which had prevalence rates of 42.4% and 33.3%, respectively. The aac(6'-Ib-cr gene was more frequently found in CTX-M-15-producing isolates, while qnrB was homogeneously distributed among all CTX-M producers.

  9. Whole genome analysis of linezolid resistance in Streptococcus pneumoniae reveals resistance and compensatory mutations

    Directory of Open Access Journals (Sweden)

    Légaré Danielle

    2011-10-01

    Full Text Available Abstract Background Several mutations were present in the genome of Streptococcus pneumoniae linezolid-resistant strains but the role of several of these mutations had not been experimentally tested. To analyze the role of these mutations, we reconstituted resistance by serial whole genome transformation of a novel resistant isolate into two strains with sensitive background. We sequenced the parent mutant and two independent transformants exhibiting similar minimum inhibitory concentration to linezolid. Results Comparative genomic analyses revealed that transformants acquired G2576T transversions in every gene copy of 23S rRNA and that the number of altered copies correlated with the level of linezolid resistance and cross-resistance to florfenicol and chloramphenicol. One of the transformants also acquired a mutation present in the parent mutant leading to the overexpression of an ABC transporter (spr1021. The acquisition of these mutations conferred a fitness cost however, which was further enhanced by the acquisition of a mutation in a RNA methyltransferase implicated in resistance. Interestingly, the fitness of the transformants could be restored in part by the acquisition of altered copies of the L3 and L16 ribosomal proteins and by mutations leading to the overexpression of the spr1887 ABC transporter that were present in the original linezolid-resistant mutant. Conclusions Our results demonstrate the usefulness of whole genome approaches at detecting major determinants of resistance as well as compensatory mutations that alleviate the fitness cost associated with resistance.

  10. The perspectives of polygenic resistance in breeding for durable disease resistance

    NARCIS (Netherlands)

    Lindhout, P.

    2002-01-01

    Polygenic resistance is generally quantitative without clear race specific effects. With the onset of molecular markers technologies, the identification of chromosome regions that are involved in quantitative resistance has become feasible. These regions are designated quantitative trait loci

  11. Resistência de Eleusine indica aos inibidores de ACCase Eleusine indica resistance to ACCase inhibitors

    Directory of Open Access Journals (Sweden)

    R.A. Vidal

    2006-01-01

    Full Text Available Dentre as causas da ineficácia no controle de plantas daninhas destaca-se a resistência delas aos herbicidas. Os objetivos deste trabalho foram avaliar a suspeita de resistência de Eleusine indica a inibidores de acetil-CoA carboxilase (ACCase e investigar a ocorrência de resistência cruzada entre os inibidores de ACCase. Biótipo de Eleusine indica originado do Mato Grosso com suspeita de resistência aos herbicidas inibidores de ACCase foi avaliado em casa de vegetação na sua suscetibilidade para diversos produtos do grupo dos ariloxifenoxipropionatos e cicloexanodionas. Estudos de resposta à dose confirmaram que o biótipo era 18 vezes mais insensível ao sethoxydim do que biótipo suscetível nunca aspergido com herbicidas. Também se constatou resistência cruzada ao fenoxaprop, cyhalofop, propaquizafop e butroxydim. Não se observou resistência cruzada aos produtos fluazifop, haloxyfop, quizalofop e clethodim.Among the causes for weed control inefficacy, the worst one is resistance to herbicides. The objectives of this work were to evaluate an Eleusine indica biotype suspected of resistance to ACCase inhibitors and to investigate the occurrence of cross- resistance to several ACCase inhibitors. One biotype of Eleusine indica originated from Mato Grosso with suspected resistance to ACCase inhibitors was evaluated in a greenhouse in relation to its susceptibility to several products of the ariloxyphenoxypropionate and cyclohexanedione groups. Studies on dose response confirmed that the suspected biotype was 18 times more insensitive to sethoxydim than the susceptible biotype that had never been treated with herbicides. Cross-resistance was confirmed for fenoxaprop, cyhalofop, propaquizafop and butroxydim. No cross-resistance was observed with fluazifop, haloxyfop, quizalofop, and clethodim.

  12. Resistance to change

    NARCIS (Netherlands)

    Dow, J.; Perotti, E.

    2009-01-01

    Established firms often fail to maintain leadership following disruptive market shifts. We argue that such firms are more prone to internal resistance. A radical adjustment of assets affects the distribution of employee rents, creating winners and losers. Losers resist large changes when strong

  13. A bacterial antibiotic-resistance gene that complements the human multidrug-resistance P-glycoprotein gene

    NARCIS (Netherlands)

    van Veen, HW; Callaghan, R; Soceneantu, L; Sardini, A; Konings, WN; Higgins, CF

    1998-01-01

    Bacteria have developed many fascinating antibiotic-resistance mechanisms(1,2). A protein in Lactococcus lactis, LmrA, mediates antibiotic resistance by extruding amphiphilic compounds from the inner leaflet of the cytoplasmic membrane(3,4). Unlike other known bacterial multidrug-resistance

  14. FRC collisionless resistivity

    International Nuclear Information System (INIS)

    Tajima, T.; Horton, W.

    1990-01-01

    Ions in the field reversed configuration (FRC) exhibit stochastic orbits due to the field null and the curvature of poloidal field lines. Velocity correlations of these particles decay in a power law fashion t -m where 1 ≤ m ≤ 2. This decay of the single particle correlation function is characteristic of the long tail correlations of strongly chaotic or nonlinear systems found in other problems of statistical physics. This decay of correlations gives rise to a collisionless resistivity that can far exceed the collisional resistivity in an FRC plasma. The finite correlation τ c of a single particle limits the acceleration in the electric field producing the finite resistivity. Maxwellian test particle distributions are integrated to find the measure of the set of stochastic ions that contribute to the collisionless resistivity. The computed conductivity is proportional to the square root of the characteristic ion gyroradius in both simulation and theory

  15. The Prehistory of Antibiotic Resistance.

    Science.gov (United States)

    Perry, Julie; Waglechner, Nicholas; Wright, Gerard

    2016-06-01

    Antibiotic resistance is a global problem that is reaching crisis levels. The global collection of resistance genes in clinical and environmental samples is the antibiotic "resistome," and is subject to the selective pressure of human activity. The origin of many modern resistance genes in pathogens is likely environmental bacteria, including antibiotic producing organisms that have existed for millennia. Recent work has uncovered resistance in ancient permafrost, isolated caves, and in human specimens preserved for hundreds of years. Together with bioinformatic analyses on modern-day sequences, these studies predict an ancient origin of resistance that long precedes the use of antibiotics in the clinic. Understanding the history of antibiotic resistance is important in predicting its future evolution. Copyright © 2016 Cold Spring Harbor Laboratory Press; all rights reserved.

  16. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dagmar Chudobova

    2014-03-01

    Full Text Available There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health.

  17. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  18. Herbicide resistance and biodiversity: agronomic and environmental aspects of genetically modified herbicide-resistant plants.

    Science.gov (United States)

    Schütte, Gesine; Eckerstorfer, Michael; Rastelli, Valentina; Reichenbecher, Wolfram; Restrepo-Vassalli, Sara; Ruohonen-Lehto, Marja; Saucy, Anne-Gabrielle Wuest; Mertens, Martha

    2017-01-01

    Farmland biodiversity is an important characteristic when assessing sustainability of agricultural practices and is of major international concern. Scientific data indicate that agricultural intensification and pesticide use are among the main drivers of biodiversity loss. The analysed data and experiences do not support statements that herbicide-resistant crops provide consistently better yields than conventional crops or reduce herbicide amounts. They rather show that the adoption of herbicide-resistant crops impacts agronomy, agricultural practice, and weed management and contributes to biodiversity loss in several ways: (i) many studies show that glyphosate-based herbicides, which were commonly regarded as less harmful, are toxic to a range of aquatic organisms and adversely affect the soil and intestinal microflora and plant disease resistance; the increased use of 2,4-D or dicamba, linked to new herbicide-resistant crops, causes special concerns. (ii) The adoption of herbicide-resistant crops has reduced crop rotation and favoured weed management that is solely based on the use of herbicides. (iii) Continuous herbicide resistance cropping and the intensive use of glyphosate over the last 20 years have led to the appearance of at least 34 glyphosate-resistant weed species worldwide. Although recommended for many years, farmers did not counter resistance development in weeds by integrated weed management, but continued to rely on herbicides as sole measure. Despite occurrence of widespread resistance in weeds to other herbicides, industry rather develops transgenic crops with additional herbicide resistance genes. (iv) Agricultural management based on broad-spectrum herbicides as in herbicide-resistant crops further decreases diversity and abundance of wild plants and impacts arthropod fauna and other farmland animals. Taken together, adverse impacts of herbicide-resistant crops on biodiversity, when widely adopted, should be expected and are indeed very hard

  19. Resistance to fluoroquinolones and second-line injectable drugs: impact on multidrug-resistant TB outcomes

    NARCIS (Netherlands)

    Falzon, Dennis; Gandhi, Neel; Migliori, Giovanni B.; Sotgiu, Giovanni; Cox, Helen S.; Holtz, Timothy H.; Hollm-Delgado, Maria-Graciela; Keshavjee, Salmaan; Deriemer, Kathryn; Centis, Rosella; D'Ambrosio, Lia; Lange, Christoph G.; Bauer, Melissa; Menzies, Dick; Ahuja, S. D.; Ashkin, D.; Avendaño, M.; Banerjee, R.; Bauer, M.; Becerra, M. C.; Benedetti, A.; Burgos, M.; Centis, R.; Chan, E. D.; Chiang, C. Y.; Cobelens, F.; Cox, H.; D'Ambrosio, L.; de Lange, W. C. M.; DeRiemer, K.; Enarson, D.; Falzon, D.; Flanagan, K. L.; Flood, J.; Gandhi, N.; Garcia-Garcia, M. L.; Granich, R. M.; Hollm-Delgado, M. G.; Holtz, T. H.; Hopewell, P.; Iseman, M. D.; Jarlsberg, L. G.; Keshavjee, S.; Kim, H. R.; Koh, W. J.; Lancaster, J. L.; Lange, C.; Leimane, V.; Leung, C. C.; Li, J.

    2013-01-01

    A meta-analysis for response to treatment was undertaken using individual data of multidrug-resistant tuberculosis (MDR-TB) (resistance to isoniazid and rifampicin) patients from 26 centres. The analysis assessed the impact of additional resistance to fluoroquinolones and/or second-line injectable

  20. Resistance to change

    NARCIS (Netherlands)

    Dow, J.; Perotti, E.

    2013-01-01

    Established firms often fail to maintain leadership following disrup tive market shifts. We argue that such firms are more prone to internal resistance. A radical adjustment of assets affects the distribution of employee rents, creating winners and losers. Losers resist large changes when strong

  1. Pituitary gigantism presenting with depressive mood disorder and diabetic ketoacidosis in an Asian adolescent.

    Science.gov (United States)

    Kuo, Sheng-Fong; Chuang, Wen-Yu; Ng, Sohching; Chen, Chih-Hung; Chang, Chen-Nen; Chou, Chi-Hsiang; Weng, Wei-Chieh; Yeh, Chih-Hua; Lin, Jen-Der

    2013-01-01

    Hyperglycemia is seldom described in young patients with pituitary gigantism. Here, we describe the case of a 17-year-old Taiwanese boy who developed depressive mood disorder and diabetic ketoacidosis (DKA) at the presentation of pituitary gigantism. The boy complained of lethargy and dysphoric mood in June 2008. He presented at the emergency department with epigastralgia and dyspnea in January 2009. Results of laboratory tests suggested type 1 diabetes mellitus with DKA. However, serum C-peptide level was normal on follow-up. Although he had no obvious features of acral enlargement, a high level of insulin-like growth factor 1 was detected, and a 75 g oral glucose suppression test showed no suppression of serum growth hormone levels. A pituitary macroadenoma was found on subsequent magnetic resonance imaging. The pituitary adenoma was surgically removed, followed by gamma-knife radiosurgery, and Sandostatin long-acting release treatment. He was then administered metformin, 500 mg twice daily, and to date, his serum glycohemoglobin has been <7%.

  2. Antimicrobial resistance and resistance gene determinants in clinical Escherichia coli from different animal species in Switzerland.

    Science.gov (United States)

    Lanz, Roland; Kuhnert, Peter; Boerlin, Patrick

    2003-01-02

    Antimicrobial susceptibility testing was performed on a total of 581 clinical Escherichia coli isolates from diarrhea and edema disease in pigs, from acute mastitis in dairy cattle, from urinary tract infections in dogs and cats, and from septicemia in laying hens collected in Switzerland between 1999 and 2001. Among the 16 antimicrobial agents tested, resistance was most frequent for sulfonamides, tetracycline, and streptomycin. Isolates from swine presented significantly more resistance than those from the other animal species. The distribution of the resistance determinants for sulfonamides, tetracycline, and streptomycin was assessed by hybridization and PCR in resistant isolates. Significant differences in the distribution of resistance determinants for tetracycline (tetA, tetB) and sulfonamides (sulII) were observed between the isolates from swine and those from the other species. Resistance to sulfonamides could not be explained by known resistance mechanisms in more than a quarter of the sulfonamide-resistant and sulfonamide-intermediate isolates from swine, dogs and cats. This finding suggests that one or several new resistance mechanisms for sulfonamides may be widespread among E. coli isolates from these animal species. The integrase gene (intI) from class I integrons was detected in a large proportion of resistant isolates in association with the sulI and aadA genes, thus demonstrating the importance of integrons in the epidemiology of resistance in clinical E. coli isolates from animals.

  3. Are Sewage Treatment Plants Promoting Antibiotic Resistance?

    Science.gov (United States)

    1. Introduction 1.1. How bacteria exhibit resistance 1.1.1. Resistance to -lactams 1.1.2. Resistance to sulphonamides and trimethoprim 1.1.3. Resistance to macrolides 1.1.4. Resistance to fluoroquinolones 1.1.5. Resistance to tetracyclines 1.1.6. Resistance to nitroimidaz...

  4. Ceftaroline-Resistant, Daptomycin-Tolerant, and Heterogeneous Vancomycin-Intermediate Methicillin-Resistant Staphylococcus aureus Causing Infective Endocarditis.

    Science.gov (United States)

    Nigo, Masayuki; Diaz, Lorena; Carvajal, Lina P; Tran, Truc T; Rios, Rafael; Panesso, Diana; Garavito, Juan D; Miller, William R; Wanger, Audrey; Weinstock, George; Munita, Jose M; Arias, Cesar A; Chambers, Henry F

    2017-03-01

    We report a case of infective endocarditis (IE) caused by ceftaroline-resistant, daptomycin-tolerant, and heterogeneous vancomycin-intermediate methicillin-resistant S. aureus (MRSA). Resistance to ceftaroline emerged in the absence of drug exposure, and the E447K substitution in the active site of PBP2a previously associated with ceftaroline resistance was identified. Additionally, we present evidence of patient-to-patient transmission of the strain within the same unit. This case illustrates the difficulties in treating MRSA IE in the setting of a multidrug-resistant phenotype. Copyright © 2017 American Society for Microbiology.

  5. Antimicrobial resistance and prevalence of resistance genes of obligate anaerobes isolated from periodontal abscesses.

    Science.gov (United States)

    Xie, Yi; Chen, Jiazhen; He, Junlin; Miao, Xinyu; Xu, Meng; Wu, Xingwen; Xu, Beiyun; Yu, Liying; Zhang, Wenhong

    2014-02-01

    This study attempts to determine the antimicrobial resistance profiles of obligate anaerobic bacteria that were isolated from a periodontal abscess and to evaluate the prevalence of resistance genes in these bacteria. Forty-one periodontal abscess samples were cultivated on selective and non-selective culture media to isolate the oral anaerobes. Their antibiotic susceptibilities to clindamycin, doxycycline, amoxicillin, imipenem, cefradine, cefixime, roxithromycin, and metronidazole were determined using the agar dilution method, and polymerase chain reaction assays were performed to detect the presence of the ermF, tetQ, nim, and cfxA drug resistance genes. A total of 60 different bacterial colonies was isolated and identified. All of the isolates were sensitive to imipenem. Of the strains, 6.7%, 13.3%, 16.7%, and 25% were resistant to doxycycline, metronidazole, cefixime, and amoxicillin, respectively. The resistance rate for both clindamycin and roxithromycin was 31.7%. Approximately 60.7% of the strains had the ermF gene, and 53.3% of the amoxicillin-resistant strains were found to have the cfxA gene. Two nim genes that were found in eight metronidazole-resistant strains were identified as nimB. In the present study, the Prevotella species are the most frequently isolated obligate anaerobes from periodontal abscesses. The current results show their alarmingly high resistance rate against clindamycin and roxithromycin; thus, the use of these antibiotics is unacceptable for the empirical therapy of periodontal abscesses. A brief prevalence of four resistance genes in the anaerobic bacteria that were isolated was also demonstrated.

  6. Mapping resistance to powdery mildew in barley reveals a large-effect nonhost resistance QTL.

    Science.gov (United States)

    Romero, Cynara C T; Vermeulen, Jasper P; Vels, Anton; Himmelbach, Axel; Mascher, Martin; Niks, Rients E

    2018-05-01

    Resistance factors against non-adapted powdery mildews were mapped in barley. Some QTLs seem effective only to non-adapted mildews, while others also play a role in defense against the adapted form. The durability and effectiveness of nonhost resistance suggests promising practical applications for crop breeding, relying upon elucidation of key aspects of this type of resistance. We investigated which genetic factors determine the nonhost status of barley (Hordeum vulgare L.) to powdery mildews (Blumeria graminis). We set out to verify whether genes involved in nonhost resistance have a wide effectiveness spectrum, and whether nonhost resistance genes confer resistance to the barley adapted powdery mildew. Two barley lines, SusBgt SC and SusBgt DC , with some susceptibility to the wheat powdery mildew B. graminis f.sp. tritici (Bgt) were crossed with cv Vada to generate two mapping populations. Each population was assessed for level of infection against four B. graminis ff.spp, and QTL mapping analyses were performed. Our results demonstrate polygenic inheritance for nonhost resistance, with some QTLs effective only to non-adapted mildews, while others play a role against adapted and non-adapted forms. Histology analyses of nonhost interaction show that most penetration attempts are stopped in association with papillae, and also suggest independent layers of defence at haustorium establishment and conidiophore formation. Nonhost resistance of barley to powdery mildew relies mostly on non-hypersensitive mechanisms. A large-effect nonhost resistance QTL mapped to a 1.4 cM interval is suitable for map-based cloning.

  7. Animation of Antimicrobial Resistance

    Science.gov (United States)

    ... Animal & Veterinary Cosmetics Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin ...

  8. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria.

    Science.gov (United States)

    Adelowo, Olawale O; Fagade, Obasola E; Agersø, Yvonne

    2014-09-12

    This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resistance was: tetracycline 81%, sulphamethoxazole 67%, streptomycin 56%, trimethoprim 47 %, ciprofloxacin 42%, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), strB (61%), catA1 (25%), cmlA1 (13%), tetA (21%) and tetB (17%). Class 1 and 2 integrons were found in five (14%) and six (17%) isolates, respectively, while one isolate was positive for both classes of integrons. Seven out of eight isolates with resistance to ciprofloxacin and MIC ≤ 32 mg/L to nalidixic acid contained qnrS genes. Our findings provided additional evidence that the poultry production environment in Nigeria represents an important reservoir of antibiotic resistance genes such as qnrS that may spread from livestock production farms to human populations via manure and water.

  9. Observations on resistive wall modes

    International Nuclear Information System (INIS)

    Gerwin, R.A.; Finn, J.M.

    1996-01-01

    Several results on resistive wall modes and their application to tokamaks are presented. First, it is observed that in the presence of collisional parallel dynamics there is an exact cancellation to lowest order of the dissipative and sound wave effects for an ideal Ohm's law. This is easily traced to the fact that the parallel dynamics occurs along the perturbed magnetic field lines for such electromagnetic modes. Such a cancellation does not occur in the resistive layer of a tearing-like mode. The relevance to models for resistive wall modes using an electrostatic Hammett-Perkins type operator to model Landau damping will be discussed. Second, we observe that with an ideal Ohm's law, resistive wall modes can be destabilized by rotation in that part of parameter space in which the ideal MHD modes are stable with the wall at infinity. This effect can easily be explained by interpreting the resistive wall instability in terms of mode coupling between the backward stable MHD mode and a stable mode locked into the wall. Such an effect can occur for very small rotation for tearing-resistive wall modes in which inertia dominates viscosity in the layer, but the mode is stabilized by further rotation. For modes for which viscosity dominates in the layer, rotation is purely stabilizing. For both tearing models, a somewhat higher rotation frequency gives stability essentially whenever the tearing mode is stable with a perfectly conducting wall. These tearing/resistive wall results axe also simply explained in terms of mode coupling. It has been shown that resonant external ideal modes can be stabilized in the presence of resistive wall and resistive plasma with rotation of order the nominal tearing mode growth rate. We show that these modes behave as resistive wall tearing modes in the sense above. This strengthens the suggestion that rotational stabilization of the external kink with a resistive wall is due to the presence of resistive layers, even for ideal modes

  10. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  11. Genetics Home Reference: clopidogrel resistance

    Science.gov (United States)

    ... Facebook Twitter Home Health Conditions Clopidogrel resistance Clopidogrel resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Clopidogrel resistance is a condition in which the drug clopidogrel ...

  12. Genetics Home Reference: warfarin resistance

    Science.gov (United States)

    ... Email Facebook Twitter Home Health Conditions Warfarin resistance Warfarin resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Warfarin resistance is a condition in which individuals have ...

  13. Resistance and conformity

    NARCIS (Netherlands)

    Sumter, S.R.; Bokhorst, C.L.; Westenberg, P.M.; Levesque, R.J.R.

    2011-01-01

    Resistance to peer influence, or the ability to resist making choices or adopting views under the implicit or explicit influence of your peers, is expected to undergo changes during adolescence. Two developmental trajectories have emerged from the field. On the one hand, adolescents show a temporary

  14. [Molecular characterization of resistance mechanisms: methicillin resistance Staphylococcus aureus, extended spectrum β-lactamases and carbapenemases].

    Science.gov (United States)

    Oteo, Jesús; Belén Aracil, María

    2015-07-01

    Multi-drug resistance in bacterial pathogens increases morbidity and mortality in infected patients and it is a threat to public health concern by their high capacity to spread. For both reasons, the rapid detection of multi-drug resistant bacteria is critical. Standard microbiological procedures require 48-72 h to provide the antimicrobial susceptibility results, thus there is emerging interest in the development of rapid detection techniques. In recent years, the use of selective and differential culture-based methods has widely spread. However, the capacity for detecting antibiotic resistance genes and their low turnaround times has made molecular methods a reference for diagnosis of multidrug resistance. This review focusses on the molecular methods for detecting some mechanisms of antibiotic resistance with a high clinical and epidemiological impact: a) Enzymatic resistance to broad spectrum β-lactam antibiotics in Enterobacteriaceae, mainly extended spectrum β-lactamases (ESBL) and carbapenemases; and b) methicillin resistance in Staphylococcus aureus. Copyright © 2015 Elsevier España, S.L.U. All rights reserved.

  15. Piggyback resistive Micromegas

    CERN Document Server

    Attié, D; Durand, D; Desforge, D; Ferrer-Ribas, E; Galán, J; Giomataris, Y; Gongadze, A; Iguaz, F J; Jeanneau, F; de Oliveira, R; Papaevangelou, T; Peyaud, A; Teixeira, A

    2013-01-01

    Piggyback Micromegas consists in a novel readout architecture where the anode element is made of a resistive layer on a ceramic substrate. The resistive layer is deposited on the thin ceramic substrate by an industrial process which provides large dynamic range of resistivity (10$^6$ to 10$^{10}$\\,M$\\Omega$/square). The particularity of this new structure is that the active part is entirely dissociated from the read-out element. This gives a large flexibility on the design of the anode structure and the readout scheme. Without significant loss, signals are transmitted by capacitive coupling to the read-out pads. The detector provides high gas gain, good energy resolution and the resistive layer assures spark protection for the electronics. This assembly could be combined with modern pixel array electronic ASICs. First tests with different Piggyback detectors and configurations will be presented. This structure is adequate for cost effective fabrication and low outgassing detectors. It was designed to perform ...

  16. Effect of physiological age on radiation resistance of some bacteria that are highly radiation resistant

    International Nuclear Information System (INIS)

    Keller, L.C.; Maxcy, R.B.

    1984-01-01

    Physiological age-dependent variation in radiation resistance was studied for three bacteria that are highly radiation resistant: Micrococcus radiodurans, Micrococcus sp. isolate C-3, and Moraxella sp. isolate 4. Stationary-phase cultures of M. radiodurans and isolate C-3 were much more resistant to gamma radiation than were log-phase cultures. This pattern of relative resistance was reversed for isolate 4. Resistance of isolate 4 to UV light was also greater during log phase, although heat resistance and NaCl tolerance after heat stresses were greater during stationary phase. Radiation-induced injury of isolate 4 compared with injury of Escherichia coli B suggested that the injury process, as well as the lethal process, was affected by growth phase. The hypothesis that growth rate affects radiation resistance was tested, and results were interpreted in light of the probable confounding effect of methods used to alter growth rates of bacteria. These results indicate that dose-response experiments should be designed to measure survival during the most resistant growth phase of the organism under study. The timing is particularly important when extrapolations of survival results might be made to potential irradiation processes for foods. 17 references

  17. Multidrug resistance in tumour cells: characterisation of the multidrug resistant cell line K562-Lucena 1

    Directory of Open Access Journals (Sweden)

    VIVIAN M. RUMJANEK

    2001-03-01

    Full Text Available Multidrug resistance to chemotherapy is a major obstacle in the treatment of cancer patients. The best characterised mechanism responsible for multidrug resistance involves the expression of the MDR-1 gene product, P-glycoprotein. However, the resistance process is multifactorial. Studies of multidrug resistance mechanisms have relied on the analysis of cancer cell lines that have been selected and present cross-reactivity to a broad range of anticancer agents. This work characterises a multidrug resistant cell line, originally selected for resistance to the Vinca alkaloid vincristine and derived from the human erythroleukaemia cell K562. This cell line, named Lucena 1, overexpresses P-glycoprotein and have its resistance reversed by the chemosensitisers verapamil, trifluoperazine and cyclosporins A, D and G. Furthermore, we demonstrated that methylene blue was capable of partially reversing the resistance in this cell line. On the contrary, the use of 5-fluorouracil increased the resistance of Lucena 1. In addition to chemotherapics, Lucena 1 cells were resistant to ultraviolet A radiation and hydrogen peroxide and failed to mobilise intracellular calcium when thapsigargin was used. Changes in the cytoskeleton of this cell line were also observed.A resistência a múltiplos fármacos é o principal obstáculo no tratamento de pacientes com câncer. O mecanismo responsável pela resistência múltipla mais bem caracterizado envolve a expressão do produto do gene MDR-1, a glicoproteína P. Entretanto, o processo de resistência tem fatores múltiplos. Estudos de mecanismos de resistência m��ltipla a fármacos têm dependido da análise de linhagens celulares tumorais que foram selecionadas e apresentam reatividade cruzada a uma ampla faixa de agentes anti-tumorais. Este trabalho caracteriza uma linhagem celular com múltipla resistência a fármacos, selecionada originalmente pela resistência ao alcalóide de Vinca vincristina e derivado

  18. Subgroup and resistance analyses of raltegravir for resistant HIV-1 infection

    DEFF Research Database (Denmark)

    Cooper, David A; Steigbigel, Roy T; Gatell, Jose M

    2008-01-01

    BACKGROUND: We evaluated the efficacy of raltegravir and the development of viral resistance in two identical trials involving patients who were infected with human immunodeficiency virus type 1 (HIV-1) with triple-class drug resistance and in whom antiretroviral therapy had failed. METHODS: We c...

  19. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    Science.gov (United States)

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high levels of ampicillin resistance in the western and northern regions were illustrated. Bacterial identification of the isolates selected for further study indicated the prevalence of some opportunistic pathogens and 62.0% of the 78 isolates exhibited multiple antibiotic resistance. The presence of ESBLs genes was in the following sequence: blaTEM > blaSHV > blaCTMX and 38.5% of the isolates had a class I integrase gene. Of all tested strains, 80.8% were able to transfer antibiotic resistance through conjugation. We also concluded that some new families of human-associated ESBLs and AmpC genes can be found in natural environmental isolates. The prevalence of antibiotic resistance and the dissemination of transferable antibiotic resistance in bacterial isolates (especially in opportunistic pathogens) was alarming and clearly indicated the urgency of realizing the health risks of antibiotic resistance to human and animal populations who are dependent on Lake Taihu for water consumption. PMID:24240317

  20. Antibiotic Resistance and Antibiotic Resistance Genes in Escherichia coli Isolates from Hospital Wastewater in Vietnam.

    Science.gov (United States)

    Lien, La Thi Quynh; Lan, Pham Thi; Chuc, Nguyen Thi Kim; Hoa, Nguyen Quynh; Nhung, Pham Hong; Thoa, Nguyen Thi Minh; Diwan, Vishal; Tamhankar, Ashok J; Stålsby Lundborg, Cecilia

    2017-06-29

    The environmental spread of antibiotic-resistant bacteria has been recognised as a growing public health threat for which hospitals play a significant role. The aims of this study were to investigate the prevalence of antibiotic resistance and antibiotic resistance genes (ARGs) in Escherichia coli isolates from hospital wastewater in Vietnam. Wastewater samples before and after treatment were collected using continuous sampling every month over a year. Standard disk diffusion and E-test were used for antibiotic susceptibility testing. Extended-spectrum beta-lactamase (ESBL) production was tested using combined disk diffusion. ARGs were detected by polymerase chain reactions. Resistance to at least one antibiotic was detected in 83% of isolates; multidrug resistance was found in 32%. The highest resistance prevalence was found for co-trimoxazole (70%) and the lowest for imipenem (1%). Forty-three percent of isolates were ESBL-producing, with the bla TEM gene being more common than bla CTX-M . Co-harbouring of the bla CTX-M , bla TEM and qepA genes was found in 46% of isolates resistant to ciprofloxacin. The large presence of antibiotic-resistant E. coli isolates combined with ARGs in hospital wastewater, even post-treatment, poses a threat to public health. It highlights the need to develop effective processes for hospital wastewater treatment plants to eliminate antibiotic resistant bacteria and ARGs.

  1. Dominance of multidrug resistant CC271 clones in macrolide-resistant streptococcus pneumoniae in Arizona

    Directory of Open Access Journals (Sweden)

    Bowers Jolene R

    2012-01-01

    Full Text Available Abstract Background Rates of resistance to macrolide antibiotics in Streptococcus pneumoniae are rising around the world due to the spread of mobile genetic elements harboring mef(E and erm(B genes and post-vaccine clonal expansion of strains that carry them. Results Characterization of 592 clinical isolates collected in Arizona over a 10 year period shows 23.6% are macrolide resistant. The largest portion of the macrolide-resistant population, 52%, is dual mef(E/erm(B-positive. All dual-positive isolates are multidrug-resistant clonal lineages of Taiwan19F-14, mostly multilocus sequence type 320, carrying the recently described transposon Tn2010. The remainder of the macrolide resistant S. pneumoniae collection includes 31% mef(E-positive, and 9% erm(B-positive strains. Conclusions The dual-positive, multidrug-resistant S. pneumoniae clones have likely expanded by switching to non-vaccine serotypes after the heptavalent pneumococcal conjugate vaccine release, and their success limits therapy options. This upsurge could have a considerable clinical impact in Arizona.

  2. Evolution of methicillin-resistant Staphylococcus aureus towards increasing resistance

    DEFF Research Database (Denmark)

    Strommenger, Birgit; Bartels, Mette Damkjær; Kurt, Kevin

    2014-01-01

    To elucidate the evolutionary history of Staphylococcus aureus clonal complex (CC) 8, which encompasses several globally distributed epidemic lineages, including hospital-associated methicillin-resistant S. aureus (MRSA) and the highly prevalent community-associated MRSA clone USA300.......To elucidate the evolutionary history of Staphylococcus aureus clonal complex (CC) 8, which encompasses several globally distributed epidemic lineages, including hospital-associated methicillin-resistant S. aureus (MRSA) and the highly prevalent community-associated MRSA clone USA300....

  3. Controlling antibiotic resistance in the ICU

    NARCIS (Netherlands)

    Derde, L.P.G.

    2013-01-01

    Patients admitted to intensive care units (ICUs) are frequently colonized with (antibiotic-resistant) bacteria, which may lead to healthcare associated infections. Antimicrobial-resistant bacteria (AMRB), such as methicillin-resistant Staphylococcus aureus (MRSA), vancomycin-resistant Enterococci

  4. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    Science.gov (United States)

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  5. Anticoagulant Resistance

    DEFF Research Database (Denmark)

    Heiberg, Ann-Charlotte

    Although sewer rat control is carried out in more than 80 % of all Danish municipalities, with usage of large amounts of anticoagulant rodenticides, knowledge on anticoagulant resistance among rats living in the sewers is limited. As rat problems in urban areas are believed to be related to sewer...... problems (70-90 % in UK and DK) unawareness of resistance amongst these populations of Brown rats may constitute a future control problem and knowledge on this issue has become crucial. Rats were captured in sewers from seven different locations in the suburban area of Copenhagen. Locations was chosen...... to represent different sewer rat management strategies i) no anticoagulants for approx. 20 years ii) no anticoagulants for the last 5 years and iii) continuous control for many years. Animals were tested for resistance to bromadiolone by Blood-Clotting Response test, as bromadiolone is the most frequently used...

  6. Embodied Resistance to Persuasion in Advertising

    Science.gov (United States)

    Lewinski, Peter; Fransen, Marieke L.; Tan, Ed S.

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad’s persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion. PMID:27574512

  7. Embodied Resistance to Persuasion in Advertising

    Directory of Open Access Journals (Sweden)

    Peter Lewinski

    2016-08-01

    Full Text Available From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing and selective attention (e.g., Fransen, Verlegh, Kirmani, & Smit, 2015a. However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness to persuade people to like the ad, brand, and product (Weinberger et al., 1995. In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. Based on the literature and findings largely established in our own research, we propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile might be a fruitful way to resist the ad’s persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion.

  8. Embodied Resistance to Persuasion in Advertising.

    Science.gov (United States)

    Lewinski, Peter; Fransen, Marieke L; Tan, Ed S

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad's persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion.

  9. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Cosmetics Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin ...

  10. Antimicrobial resistance, heavy metal resistance and integron content in bacteria isolated from a South African tilapia aquaculture system.

    Science.gov (United States)

    Chenia, Hafizah Y; Jacobs, Anelet

    2017-11-21

    Antibacterial compounds and metals co-select for antimicrobial resistance when bacteria harbour resistance genes towards both types of compounds, facilitating the proliferation and evolution of antimicrobial and heavy metal resistance. Antimicrobial and heavy metal resistance indices of 42 Gram-negative bacteria from a tilapia aquaculture system were determined to identify possible correlations between these phenotypes. Agar dilution assays were carried out to determine susceptibility to cadmium, copper, lead, mercury, chromate and zinc, while susceptibility to 21 antimicrobial agents was investigated by disk diffusion assays. Presence of merA, the mercury resistance gene, was determined by dot-blot hybridizations and PCR. Association of mercury resistance with integrons and transposon Tn21 was also investigated by PCR. Isolates displayed a high frequency of antimicrobial (erythromycin: 100%; ampicillin: 85%; trimethoprim: 78%) and heavy metal (Zn2+: 95%; Cd2+: 91%) resistance. No correlation was established between heavy metal and multiple antibiotic resistance indices. Significant positive correlations were observed between heavy metal resistance profiles, indices, Cu2+ and Cr3+ resistance with erythromycin resistance. Significant positive correlations were observed between merA (24%)/Tn21 (24%) presence and heavy metal resistance profiles and indices; however, significant negative correlations were obtained between integron-associated qacE∆1 (43%) and sulI (26%) gene presence and heavy metal resistance indices. Heavy metal and antimicrobial agents co-select for resistance, with fish-associated, resistant bacteria demonstrating simultaneous heavy metal resistance. Thus, care should be taken when using anti-fouling heavy metals as feed additives in aquaculture facilities.

  11. Glyphosate resistance: state of knowledge

    Science.gov (United States)

    Sammons, Robert Douglas; Gaines, Todd A

    2014-01-01

    Studies of mechanisms of resistance to glyphosate have increased current understanding of herbicide resistance mechanisms. Thus far, single-codon non-synonymous mutations of EPSPS (5-enolypyruvylshikimate-3-phosphate synthase) have been rare and, relative to other herbicide mode of action target-site mutations, unconventionally weak in magnitude for resistance to glyphosate. However, it is possible that weeds will emerge with non-synonymous mutations of two codons of EPSPS to produce an enzyme endowing greater resistance to glyphosate. Today, target-gene duplication is a common glyphosate resistance mechanism and could become a fundamental process for developing any resistance trait. Based on competition and substrate selectivity studies in several species, rapid vacuole sequestration of glyphosate occurs via a transporter mechanism. Conversely, as the chloroplast requires transporters for uptake of important metabolites, transporters associated with the two plastid membranes may separately, or together, successfully block glyphosate delivery. A model based on finite glyphosate dose and limiting time required for chloroplast loading sets the stage for understanding how uniquely different mechanisms can contribute to overall glyphosate resistance. PMID:25180399

  12. Emergence of fluoroquinolone resistance among drug resistant tuberculosis patients at a tertiary care facility in Karachi, Pakistan.

    Science.gov (United States)

    Zaidi, Syed Mohammad Asad; Haseeb, Abdul; Habib, Shifa Salman; Malik, Amyn; Khowaja, Saira; SaifUllah, Nausheen; Rizvi, Nadeem

    2017-07-25

    Pakistan is classified as one of the high multi-drug resistant tuberculosis (MDR-TB) burden countries. A poorly regulated private sector, over-prescription of antibiotics and self-medication has led to augmented rates of drug-resistance in the country. Pakistan's first national anti-tuberculosis drug resistance survey identified high prevalence of fluoroquinolone resistance among MDR-TB patients. Further institutional evidence of fluoroquinolone drug-resistance can support re-evaluation of treatment regimens as well as invigorate efforts to control antibiotic resistance in the country. In this study, data for drug-susceptibility testing (DST) was retrospectively analyzed for a total of 133 patients receiving MDR-TB treatment at the Chest Department of Jinnah Postgraduate Medical Center, Karachi, Pakistan. Frequency analyses for resistance patterns was carried out and association of fluoroquinolone (ofloxacin) resistance with demographics and past TB treatment category were assessed. Within first-line drugs, resistance to isoniazid was detected in 97.7% of cases, followed by rifampicin (96.9%), pyrazinamide (86.4%), ethambutol (69.2%) and streptomycin (64.6%). Within second-line drugs, ofloxacin resistance was detected in 34.6% of cases. Resistance to ethionamide and amikacin was 2.3% and 1.6%, respectively. Combined resistance of oflaxacin and isoniazid was detected in 33.9% of cases. Age, gender and past TB treatment category were not significantly associated with resistance to ofloxacin. Fluoroquinolone resistance was observed in an alarmingly high proportion of MDR-TB cases. Our results suggest caution in their use for empirical management of MDR-TB cases and recommended treatment regimens for MDR-TB may require re-evaluation. Greater engagement of private providers and stringent pharmacy regulations are urgently required.

  13. Adriamycin resistance and radiation response

    International Nuclear Information System (INIS)

    Belli, J.A.; Harris, J.R.

    1979-01-01

    Mammalian cells (V79) in culture developed resistance to Adriamycin during continuous exposure to low levels of drug. This resistance was accompanied by change in x-ray survival properties which, in turn, depended upon the isolation of subpopulations from resistant sub lines. These changes in x-ray survival properties were characterized by reduced D/sub Q/ values and a decrease in the D/sub O/. However, these changes were not observed together in the same cell sub line. Adriamycin-resistant cells did not appear to be radiation damage repair deficient. Other phenotypic changes (cell morphology, DNA content and chromosome number) suggested mutational events coincident with the development of Adriamycin resistance

  14. Measuring The Contact Resistances Of Photovoltaic Cells

    Science.gov (United States)

    Burger, D. R.

    1985-01-01

    Simple method devised to measure contact resistances of photovoltaic solar cells. Method uses readily available equipment and applicable at any time during life of cell. Enables evaluation of cell contact resistance, contact-end resistance, contact resistivity, sheet resistivity, and sheet resistivity under contact.

  15. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... More in Antimicrobial Resistance National Antimicrobial Resistance Monitoring System ... If you need help accessing information in different file formats, see Instructions for Downloading ...

  16. Staphylococcus aureus: methicillin-susceptible S. aureus to methicillin-resistant S. aureus and vancomycin-resistant S. aureus.

    Science.gov (United States)

    Rehm, Susan J; Tice, Alan

    2010-09-15

    The evolution of methicillin-resistant and vancomycin-resistant Staphylococcus aureus has demanded serious review of antimicrobial use and development of new agents and revised approaches to prevent and overcome drug resistance. Depending on local conditions and patient risk factors, empirical therapy of suspected S. aureus infection may require coverage of drug-resistant organisms with newer agents and novel antibiotic combinations. The question of treatment with inappropriate antibiotics raises grave concerns with regard to methicillin-resistant S. aureus selection, overgrowth, and increased virulence. Several strategies to reduce the nosocomial burden of resistance are suggested, including shortened hospital stays and outpatient parenteral antimicrobial therapy of the most serious infections.

  17. Risk of vancomycin-resistant enterococci bloodstream infection among patients colonized with vancomycin-resistant enterococci

    Directory of Open Access Journals (Sweden)

    Ahu Kara

    2015-01-01

    Conclusion: In conclusion, our study found that 1.55% of vancomycin-resistant enterococci-colonized children had developed vancomycin-resistant enterococci bloodstream infection among the pediatric intensive care unit and hematology/oncology patients; according to our findings, we suggest that immunosupression is the key point for developing vancomycin-resistant enterococci bloodstream infections.

  18. Relationship between Psidium species (Myrtaceae) by resistance gene analog markers: focus on nematode resistance.

    Science.gov (United States)

    Noia, L R; Tuler, A C; Ferreira, A; Ferreira, M F S

    2017-03-16

    Guava (Psidium guajava L.) crop is severely affected by the nematode Meloidogyne enterolobii. Native Psidium species have been reported as sources of resistance against this nematode. Knowledge on the molecular relationship between Psidium species based on plant resistance gene analogs (RGA) can be useful in the genetic breeding of guava for resistance to M. enterolobii. In this study, RGA markers from conserved domains, and structural features of plant R genes, were employed to characterize Psidium species and establish genetic proximity, with a focus on nematode resistance. SSR markers were also applied owing to their neutral nature, thus differing from RGA markers. For this, species reported as sources of resistance to M. enterolobii, such as P. cattleianum and P. friedrichsthalianum, as well as species occurring in the Atlantic Rainforest and susceptible genotypes, were investigated. In 10 evaluated Psidium species, high interspecific genetic variability was verified through RGA and SSR markers, with intraspecific variation in P. guajava higher with SSR, as was expected. Resistant species were clustered by RGA markers, and differential amplicons among genotypes resistant and susceptible to M. enterolobii were identified. Knowledge on the molecular relationships between Psidium species constitutes useful information for breeding of the guava tree, providing direction for hybridization and material for rootstocks. Additionally, the genetic relationship between native species, which have been little studied, and P. guajava were estimated by RGAs, which were confirmed as important markers for genetic diversity related to pathogen resistance.

  19. The tetracycline resistance determinant Tet 39 and the sulphonamide resistance gene sulII are common among resistant Acinetobacter spp. isolated from integrated fish farms in Thailand

    DEFF Research Database (Denmark)

    Agersø, Yvonne; Petersen, Andreas

    2007-01-01

    Objectives: To determine the genetic basis for tetracycline and sulphonamide resistance and the prevalence of class I and II integrons in oxytetracycline-resistant Acinetobacter spp. from integrated fish farms in Thailand. Methods: A total of 222 isolates were screened for tetracycline resistance...... and Southern blots with sulII and tet(39) probes were performed on selected isolates. Results: The recently identified tetracycline resistance gene tet(39) was demonstrated in 75% (166/222) of oxytetracycline-resistant Acinetobacter spp. from integrated fish farms in Thailand. Isolates that were also...

  20. Incidence of multidrug-resistant, extensively drug-resistant and pan-drug-resistant bacteria in children hospitalized at Dr. Hasan Sadikin general hospital Bandung Indonesia

    Science.gov (United States)

    Adrizain, R.; Suryaningrat, F.; Alam, A.; Setiabudi, D.

    2018-03-01

    Antibiotic resistance has become a global issue, with 700,000 deaths attributable to multidrug-resistance (MDR) occurring each year. Centers for Disease Control and Prevention (CDC) show rapidly increasing rates of infection due to antibiotic-resistant bacteria. The aim of the study isto describe the incidence of MDR, extensively drug-resistant (XDR) and pan drug-resistant (PDR) in Enterococcus spp., Staphylococcus aureus, K. pneumonia, Acinetobacter baumanii, P. aeruginosin, and Enterobacter spp. (ESKAPE) pathogens in children admitted to Dr. Hasan Sadikin Hospital. All pediatric patients having blood culture drawn from January 2015 to December 2016 were retrospectively studied. Data include the number of drawn blood culture, number of positive results, type of bacteria, sensitivity pattern. International standard definitions for acquired resistance by ECDC and CDC was used as definitions for MDR, XDR and PDR bacteria. From January 2015 to December 2016, 299 from 2.542 (11.7%) blood culture was positive, with Staphylococcus aureus, Enterococcus spp., Enterobacteriaceae, Pseudomonas aeruginosa, Acinetobacter spp., respectively 5, 6, 24, 5, 20 with total 60 (20%). The MDR and XDR pathogen found were 47 and 13 patients, respectively.

  1. Multidrug-Resistant Salmonella enterica Serovar Muenchen from Pigs and Humans and Potential Interserovar Transfer of Antimicrobial Resistance

    OpenAIRE

    Gebreyes, Wondwossen A.; Thakur, Siddhartha

    2005-01-01

    Salmonella serovars are important reservoirs of antimicrobial resistance. Recently, we reported on multidrug-resistant (MDR) Salmonella enterica serovar Typhimurium strains among pigs with resistance to ampicillin, kanamycin, streptomycin, sulfamethoxazole, and tetracycline (resistance [R] type AKSSuT) and resistance to amoxicillin-clavulanic acid, ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline (R type AxACSSuT). In the present study, 67 isolates (39 from humans...

  2. Antimicrobial resistance

    DEFF Research Database (Denmark)

    Llor, Carl; Bjerrum, Lars

    2014-01-01

    Antimicrobial resistance is a global public health challenge, which has accelerated by the overuse of antibiotics worldwide. Increased antimicrobial resistance is the cause of severe infections, complications, longer hospital stays and increased mortality. Overprescribing of antibiotics......-the-counter sale of antibiotics, the use of antimicrobial stewardship programmes, the active participation of clinicians in audits, the utilization of valid rapid point-of-care tests, the promotion of delayed antibiotic prescribing strategies, the enhancement of communication skills with patients with the aid...

  3. Phenotypic low-level isoniazid resistance as a marker to predict ethionamide resistance in Mycobacterium tuberculosis

    Directory of Open Access Journals (Sweden)

    Salima Qamar

    2017-01-01

    Full Text Available Background: Tuberculosis is one of the most prevalent diseases in Pakistan. Pakistan has the highest burden of MDR-TB in the Eastern Mediterranean region. Ethionamide is an anti-tuberculous drug frequently used to treat MDR-TB. Its drug susceptibility testing is not easily available in resource limited settings. Since it acts on the same target protein as isoniazid (inhA protein encoded by inhA gene, we sought to find out if phenotypic isoniazid resistance can be a marker of ethionamide resistance. Materials and Methods: This was a retrospective observational study conducted at the Aga Khan University hospital section of microbiology. Data was retrieved between 2011 to 2014 for all culture positive MTB strains. All culture positive MTB isolates with susceptibilities to isoniazid and ethionamide recorded were included in the study. Isoniazid and ethionamide susceptibilities were performed using agar proportion method on Middlebrook 7H10 agar. Rate of Ethionamide resistance between low-level isoniazid resistant, high level isoniazid resistant and isoniazid sensitive MTB was compared. Results: A total of 11,274 isolates were included in the study. A statistically significant association (P < 0.001 was found between Ethionamide resistance and low-level isoniazid resistance (26.6% as compared to high-level isoniazid resistance (8.85% and isoniazid sensitivity (0.71% in MTB strains. However this association was not seen in XDR-TB strains. Conclusion: Low level isoniazid resistance may be used as marker for phenotypic ethionamide resistance and hence guide clinicians' choice of antituberculous agent for MDR-TB in Pakistan. Further studies involving detection of genotypic association of isoniazid and ethionamide susceptibilities are needed before a final conclusion can be derived.

  4. A double EPSPS gene mutation endowing glyphosate resistance shows a remarkably high resistance cost.

    Science.gov (United States)

    Han, Heping; Vila-Aiub, Martin M; Jalaludin, Adam; Yu, Qin; Powles, Stephen B

    2017-12-01

    A novel glyphosate resistance double point mutation (T102I/P106S, TIPS) in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS) gene has been recently identified for the first time only in the weed species Eleusine indica. Quantification of plant resistance cost associated with the TIPS and the often reported glyphosate resistance single P106S mutation was performed. A significant resistance cost (50% in seed number currency) associated with the homozygous TIPS but not the homozygous P106S EPSPS variant was identified in E. indica plants. The resistance cost associated with the TIPS mutation escalated to 85% in plants under resource competition with rice crops. The resistance cost was not detected in nonhomozygous TIPS plants denoting the recessive nature of the cost associated with the TIPS allele. An excess of 11-fold more shikimate and sixfold more quinate in the shikimate pathway was detected in TIPS plants in the absence of glyphosate treatment compared to wild type, whereas no changes in these compounds were observed in P106S plants when compared to wild type. TIPS plants show altered metabolite levels in several other metabolic pathways that may account for the expression of the observed resistance cost. © 2017 John Wiley & Sons Ltd.

  5. Insecticides resistance in the Culex quinquefasciatus populations from northern Thailand and possible resistance mechanisms.

    Science.gov (United States)

    Yanola, Jintana; Chamnanya, Saowanee; Lumjuan, Nongkran; Somboon, Pradya

    2015-09-01

    The mosquito vector Culex quinquefasciatus is known to be resistant to insecticides worldwide, including Thailand. This study was the first investigation of the insecticide resistance mechanisms, involving metabolic detoxification and target site insensitivity in C. quinquefasciatus from Thailand. Adult females reared from field-caught larvae from six provinces of northern Thailand were determined for resistant status by exposing to 0.05% deltamethrin, 0.75% permethrin and 5% malathion papers using the standard WHO susceptibility test. The overall mortality rates were 45.8%, 11.4% and 80.2%, respectively. A fragment of voltage-gated sodium channel gene was amplified and sequenced to identify the knock down resistance (kdr) mutation. The ace-1 gene mutation was determined by using PCR-RFLP. The L1014F kdr mutation was observed in all populations, but the homozygous mutant F/F1014 genotype was found only in two of the six provinces where the kdr mutation was significantly correlated with deltamethrin resistance. However, none of mosquitoes had the G119S mutation in the ace-1 gene. A laboratory deltamethrin resistant strain, Cq_CM_R, has been established showing a highly resistant level after selection for a few generations. The mutant F1014 allele frequency was significantly increased after one generation of selection. A synergist assay was performed to assess the metabolic detoxifying enzymes. Addition of bis(4-nitrophenyl)-phosphate (BNPP) and diethyl maleate (DEM), inhibitors of esterases and glutathione S-transferases (GST), respectively, into the larval bioassay of the Cq_CM strain with deltamethrin showed no significant reduction. By contrast, addition of piperonyl butoxide (PBO), an inhibitor of cytochrome P450 monooxygenases, showed a 9-fold reduction of resistance. Resistance to pyrethroids in C. quinquefasciatus is widely distributed in northern Thailand. This study reports for the first time for the detection of the L1014F kdr mutation in wild populations

  6. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria

    OpenAIRE

    Adelowo, Olawale O.; Fagade, Obasola E.; Agersø, Yvonne

    2014-01-01

    Introduction: This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Methodology: Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. Results: A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resista...

  7. Preventing drug resistance in severe influenza

    Science.gov (United States)

    Dobrovolny, Hana; Deecke, Lucas

    2015-03-01

    Severe, long-lasting influenza infections are often caused by new strains of influenza. The long duration of these infections leads to an increased opportunity for the emergence of drug resistant mutants. This is particularly problematic for new strains of influenza since there is often no vaccine, so drug treatment is the first line of defense. One strategy for trying to minimize drug resistance is to apply periodic treatment. During treatment the wild-type virus decreases, but resistant virus might increase; when there is no treatment, wild-type virus will hopefully out-compete the resistant virus, driving down the number of resistant virus. We combine a mathematical model of severe influenza with a model of drug resistance to study emergence of drug resistance during a long-lasting infection. We apply periodic treatment with two types of antivirals: neuraminidase inhibitors, which block release of virions; and adamantanes, which block replication of virions. We compare the efficacy of the two drugs in reducing emergence of drug resistant mutants and examine the effect of treatment frequency on the emergence of drug resistant mutants.

  8. Antibacterial resistance: an emerging 'zoonosis'?

    Science.gov (United States)

    Labro, Marie-Thérèse; Bryskier, Jean-Marie

    2014-12-01

    Antibacterial resistance is a worldwide threat, and concerns have arisen about the involvement of animal commensal and pathogenic bacteria in the maintenance and spread of resistance genes. However, beyond the facts related to the occurrence of resistant microorganisms in food, food-producing animals and companion animals and their transmission to humans, it is important to consider the vast environmental 'resistome', the selective pathways underlying the emergence of antibacterial resistance and how we can prepare answers for tomorrow.

  9. Study on drug resistance of mycobacterium tuberculosis in patients with pulmonary tuberculosis by drug resistance gene detecting

    International Nuclear Information System (INIS)

    Wang Wei; Li Hongmin; Wu Xueqiong; Wang Ansheng; Ye Yixiu; Wang Zhongyuan; Liu Jinwei; Chen Hongbing; Lin Minggui; Wang Jinhe; Li Sumei; Jiang Ping; Feng Bai; Chen Dongjing

    2004-01-01

    To investigate drug resistance of mycobacterium tuberculosis in different age group, compare detecting effect of two methods and evaluate their the clinical application value, all of the strains of mycobacterium tuberculosis were tested for resistance to RFP, INH SM PZA and EMB by the absolute concentration method on Lowenstein-Jensen medium and the mutation of the rpoB, katG, rpsL, pncA and embB resistance genes in M. tuberculosis was tested by PCR-SSCP. In youth, middle and old age group, the rate of acquired drug resistance was 89.2%, 85.3% and 67.6% respectively, the gene mutation rate was 76.2%, 81.3% and 63.2% respectively. The rate of acquired drug resistance and multiple drug resistance in youth group was much higher than those in other groups. The gene mutation was correlated with drug resistance level of mycobacterium tuberculosis. The gene mutation rate was higher in strains isolated from high concentration resistance than those in strains isolated from low concentration resistance. The more irregular treatment was longer, the rate of drug resistance was higher. Acquired drug resistance varies in different age group. It suggested that surveillance of drug resistence in different age group should be taken seriously, especially in youth group. PCR - SSCP is a sensitive and specific method for rapid detecting rpoB, katG, rpsL, pncA and embB genes mutations of MTB. (authors)

  10. Buprofezin susceptibility survey, resistance selection and preliminary determination of the resistance mechanism in Nilaparvata lugens (Homoptera: Delphacidae).

    Science.gov (United States)

    Wang, Yanhua; Gao, Congfen; Xu, Zhiping; Zhu, Yu Cheng; Zhang, Jiushuang; Li, Wenhong; Dai, Dejiang; Lin, Youwei; Zhou, Weijun; Shen, Jinliang

    2008-10-01

    Buprofezin has been used for many years to control Nilaparvata lugens (Stål). Assessment of susceptibility change in the insect is essential for maintaining control efficiency and resistance management. Eleven-year surveys showed that most field populations were susceptible before 2004. However, substantially higher levels of resistance (up to 28-fold) were found in most of the rice fields in China after 2004. A field population was collected and periodically selected for buprofezin resistance in the laboratory. After 65 generations (56 were selected), the colony successfully obtained 3599-fold resistance to buprofezin. Synergism tests showed that O,O-diethyl-O-phenyl phosphorothioate (SV1), piperonyl butoxide (PBO) and diethyl maleate (DEM) increased buprofezin toxicity in the resistant strain by only 1.5-1.6 fold, suggesting that esterases, P450-monooxygenases and glutathione S-transferases had no substantial effect on buprofezin resistance development. The results from this study indicate that N. lugens has the potential to develop high resistance to buprofezin. A resistance management program with rotation of buprofezin and other pesticides may efficiently delay or slow down resistance development in the insect. Further investigation is also necessary to understand the resistance mechanisms in N. lugens.

  11. Collateral Resistance and Sensitivity Modulate Evolution of High-Level Resistance to Drug Combination Treatment in Staphylococcus aureus

    DEFF Research Database (Denmark)

    de Evgrafov, Mari Cristina Rodriguez; Gumpert, Heidi; Munck, Christian

    2015-01-01

    As drug-resistant pathogens continue to emerge, combination therapy will increasingly be relied upon to treat infections and to help combat further development of multidrug resistance. At present a dichotomy exists between clinical practice, which favors therapeutically synergistic combinations......, to reflect drug concentrations more likely to be encountered during treatment. We performed a series of adaptive evolution experiments using Staphylococcus aureus. Interestingly, no relationship between drug interaction type and resistance evolution was found as resistance increased significantly beyond wild......-type levels. All drug combinations, irrespective of interaction types, effectively limited resistance evolution compared with monotreatment. Cross-resistance and collateral sensitivity were found to be important factors in the extent of resistance evolution toward a combination. Comparative genomic analyses...

  12. Mapping the resistance-associated mobilome of a carbapenem-resistant Klebsiella pneumoniae strain reveals insights into factors shaping these regions and facilitates generation of a 'resistance-disarmed' model organism.

    Science.gov (United States)

    Bi, Dexi; Jiang, Xiaofei; Sheng, Zi-Ke; Ngmenterebo, David; Tai, Cui; Wang, Minggui; Deng, Zixin; Rajakumar, Kumar; Ou, Hong-Yu

    2015-10-01

    This study aims to investigate the landscape of the mobile genome, with a focus on antibiotic resistance-associated factors in carbapenem-resistant Klebsiella pneumoniae. The mobile genome of the completely sequenced K. pneumoniae HS11286 strain (an ST11, carbapenem-resistant, near-pan-resistant, clinical isolate) was annotated in fine detail. The identified mobile genetic elements were mapped to the genetic contexts of resistance genes. The blaKPC-2 gene and a 26 kb region containing 12 clustered antibiotic resistance genes and one biocide resistance gene were deleted, and the MICs were determined again to ensure that antibiotic resistance had been lost. HS11286 contains six plasmids, 49 ISs, nine transposons, two separate In2-related integron remnants, two integrative and conjugative elements (ICEs) and seven prophages. Sixteen plasmid-borne resistance genes were identified, 14 of which were found to be directly associated with Tn1721-, Tn3-, Tn5393-, In2-, ISCR2- and ISCR3-derived elements. IS26 appears to have actively moulded several of these genetic regions. The deletion of blaKPC-2, followed by the deletion of a 26 kb region containing 12 clustered antibiotic resistance genes, progressively decreased the spectrum and level of resistance exhibited by the resultant mutant strains. This study has reiterated the role of plasmids as bearers of the vast majority of resistance genes in this species and has provided valuable insights into the vital role played by ISs, transposons and integrons in shaping the resistance-coding regions in this important strain. The 'resistance-disarmed' K. pneumoniae ST11 strain generated in this study will offer a more benign and readily genetically modifiable model organism for future extensive functional studies. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  13. Increasing Incidence of Linezolid-Intermediate or -Resistant, Vancomycin-Resistant Enterococcus faecium Strains Parallels Increasing Linezolid Consumption▿

    OpenAIRE

    Scheetz, Marc H.; Knechtel, Stephanie A.; Malczynski, Michael; Postelnick, Michael J.; Qi, Chao

    2008-01-01

    Clinical enterococcal resistance to linezolid is defined by the presence of the G2576T mutation. We evaluated the incidence of genetically proven linezolid resistance among vancomycin-resistant Enterococcus faecium strains and linezolid consumption for a possible association. A relationship was found (r2 = 0.73, P = 0.03) and predicts increasing resistance with current trends of linezolid use.

  14. Electromigration early resistance increase measurements

    NARCIS (Netherlands)

    Niehof, J.; Flinn, P.A.; Maloney, T.J.

    1993-01-01

    An early resistance change measurement set-up, using an AC bridge technique, has been developed, and measurements have been performed. Large sample-to-sample variations occur. The characteristic time for the resistance change curve is shorter for resistance increase (under current stress) than for

  15. Analysis of metal and biocides resistance genes in drug resistance and susceptible Salmonella enterica from food animals

    Science.gov (United States)

    Background Generally drug resistant bacteria carry antibiotic resistance genes and heavy metal and biocide resistance genes on large conjugative plasmids. The presence of these metal and biocide resistance genes in susceptible bacteria are not assessed comprehensively. Hence, WGS data of susceptib...

  16. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    Science.gov (United States)

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. Antimicrobial Resistance of Hypervirulent Klebsiella pneumoniae: Epidemiology, Hypervirulence-Associated Determinants, and Resistance Mechanisms

    Directory of Open Access Journals (Sweden)

    Chang-Ro Lee

    2017-11-01

    Full Text Available Klebsiella pneumoniae is one of the most clinically relevant species in immunocompromised individuals responsible for community-acquired and nosocomial infections, including pneumonias, urinary tract infections, bacteremias, and liver abscesses. Since the mid-1980s, hypervirulent K. pneumoniae, generally associated with the hypermucoviscosity phenotype, has emerged as a clinically significant pathogen responsible for serious disseminated infections, such as pyogenic liver abscesses, osteomyelitis, and endophthalmitis, in a generally younger and healthier population. Hypervirulent K. pneumoniae infections were primarily found in East Asia and now are increasingly being reported worldwide. Although most hypervirulent K. pneumoniae isolates are antibiotic-susceptible, some isolates with combined virulence and resistance, such as the carbapenem-resistant hypervirulent K. pneumoniae isolates, are increasingly being detected. The combination of multidrug resistance and enhanced virulence has the potential to cause the next clinical crisis. To better understand the basic biology of hypervirulent K. pneumoniae, this review will provide a summarization and discussion focused on epidemiology, hypervirulence-associated factors, and antibiotic resistance mechanisms of such hypervirulent strains. Epidemiological analysis of recent clinical isolates in China warns the global dissemination of hypervirulent K. pneumoniae strains with extensive antibiotic resistance in the near future. Therefore, an immediate response to recognize the global dissemination of this hypervirulent strain with resistance determinants is an urgent priority.

  18. Antibiotic resistance increases with local temperature

    Science.gov (United States)

    MacFadden, Derek R.; McGough, Sarah F.; Fisman, David; Santillana, Mauricio; Brownstein, John S.

    2018-06-01

    Bacteria that cause infections in humans can develop or acquire resistance to antibiotics commonly used against them1,2. Antimicrobial resistance (in bacteria and other microbes) causes significant morbidity worldwide, and some estimates indicate the attributable mortality could reach up to 10 million by 20502-4. Antibiotic resistance in bacteria is believed to develop largely under the selective pressure of antibiotic use; however, other factors may contribute to population level increases in antibiotic resistance1,2. We explored the role of climate (temperature) and additional factors on the distribution of antibiotic resistance across the United States, and here we show that increasing local temperature as well as population density are associated with increasing antibiotic resistance (percent resistant) in common pathogens. We found that an increase in temperature of 10 °C across regions was associated with an increases in antibiotic resistance of 4.2%, 2.2%, and 2.7% for the common pathogens Escherichia coli, Klebsiella pneumoniae and Staphylococcus aureus. The associations between temperature and antibiotic resistance in this ecological study are consistent across most classes of antibiotics and pathogens and may be strengthening over time. These findings suggest that current forecasts of the burden of antibiotic resistance could be significant underestimates in the face of a growing population and climate change4.

  19. Resistance to dual-gene Bt maize in Spodoptera frugiperda: selection, inheritance, and cross-resistance to other transgenic events.

    Science.gov (United States)

    Santos-Amaya, Oscar F; Rodrigues, João V C; Souza, Thadeu C; Tavares, Clébson S; Campos, Silverio O; Guedes, Raul N C; Pereira, Eliseu J G

    2015-12-17

    Transgenic crop "pyramids" producing two or more Bacillus thuringiensis (Bt) toxins active against the same pest are used to delay evolution of resistance in insect pest populations. Laboratory and greenhouse experiments were performed with fall armyworm, Spodoptera frugiperda, to characterize resistance to Bt maize producing Cry1A.105 and Cry2Ab and test some assumptions of the "pyramid" resistance management strategy. Selection of a field-derived strain of S. frugiperda already resistant to Cry1F maize with Cry1A.105 + Cry2Ab maize for ten generations produced resistance that allowed the larvae to colonize and complete the life cycle on these Bt maize plants. Greenhouse experiments revealed that the resistance was completely recessive (Dx = 0), incomplete, autosomal, and without maternal effects or cross-resistance to the Vip3Aa20 toxin produced in other Bt maize events. This profile of resistance supports some of the assumptions of the pyramid strategy for resistance management. However, laboratory experiments with purified Bt toxin and plant leaf tissue showed that resistance to Cry1A.105 + Cry2Ab2 maize further increased resistance to Cry1Fa, which indicates that populations of fall armyworm have high potential for developing resistance to some currently available pyramided maize used against this pest, especially where resistance to Cry1Fa was reported in the field.

  20. Transposon characterization of vancomycin-resistant Enterococcus faecium (VREF) and dissemination of resistance associated with transferable plasmids

    DEFF Research Database (Denmark)

    Migura, Lourdes Garcia; Liebana, Ernesto; Jensen, Lars Bogø

    2007-01-01

    Objectives: VanA glycopeptide resistance has persisted on broiler farms in the UK despite the absence of the antimicrobial selective pressure, avoparcin. This study aimed to investigate the contribution of horizontal gene transfer of Tn 1546 versus clonal spread in the dissemination of the resist......Objectives: VanA glycopeptide resistance has persisted on broiler farms in the UK despite the absence of the antimicrobial selective pressure, avoparcin. This study aimed to investigate the contribution of horizontal gene transfer of Tn 1546 versus clonal spread in the dissemination...... plasmid replicons, associated with antimicrobial resistance on several unrelated farms. Conclusions: Horizontal transfer of vancomycin resistance may play a more important role in the persistence of antimicrobial resistance than clonal spread. The presence of different plasmid replicons, associated...... with antimicrobial resistance on several unrelated farms, illustrates the ability of these enterococci to acquire and disseminate mobile genetic elements within integrated livestock systems....

  1. Production of low-affinity penicillin-binding protein by low- and high-resistance groups of methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Murakami, K; Nomura, K; Doi, M; Yoshida, T

    1987-01-01

    Methicillin- and cephem-resistant Staphylococcus aureus (137 strains) for which the cefazolin MICs are at least 25 micrograms/ml could be classified into low-resistance (83% of strains) and high-resistance (the remaining 17%) groups by the MIC of flomoxef (6315-S), a 1-oxacephalosporin. The MICs were less than 6.3 micrograms/ml and more than 12.5 micrograms/ml in the low- and high-resistance groups, respectively. All strains produced penicillin-binding protein 2' (PBP 2'), which has been associated with methicillin resistance and which has very low affinity for beta-lactam antibiotics. Production of PBP 2' was regulated differently in low- and high-resistance strains. With penicillinase-producing strains of the low-resistance group, cefazolin, cefamandole, and cefmetazole induced PBP 2' production about 5-fold, while flomoxef induced production 2.4-fold or less. In contrast, penicillinase-negative variants of low-resistance strains produced PBP 2' constitutively in large amounts and induction did not occur. With high-resistance strains, flomoxef induced PBP 2' to an extent similar to that of cefazolin in both penicillinase-producing and -negative strains, except for one strain in which the induction did not occur. The amount of PBP 2' induced by beta-lactam antibiotics in penicillinase-producing strains of the low-resistance group correlated well with resistance to each antibiotic. Large amounts of PBP 2' in penicillinase-negative variants of the low-resistance group did not raise the MICs of beta-lactam compounds, although these strains were more resistant when challenged with flomoxef for 2 h. Different regulation of PBP 2' production was demonstrated in the high- and low-resistance groups, and factor(s) other than PBP 2' were suggested to be involved in the methicillin resistance of high-resistance strains. Images PMID:3499861

  2. Resistance to Barley Leaf Stripe

    DEFF Research Database (Denmark)

    Nørgaard Knudsen, J. C.

    1986-01-01

    in well adapted Northwest European spring cultivars. Virulence matching two hitherto not overcome resistances was demonstrated. Differences in apparent race nonspecific or partial resistance were also present, changing the percentage of infected plants of susceptible genotypes from about 20 to 44 per cent.......Ten barley [Hordeum vulgare] genotypes were inoculated with twelve isolates of Pyrenophora graminea of diverse European and North African origin. Race specific resistance occurred. Four, possibly five, genetically different sources of race-specific resistance were found, three of them occurring...

  3. Cross-resistance to radiation in human squamous cell carcinoma cells with induced cisplatin resistance

    International Nuclear Information System (INIS)

    Komori, Keiichi

    1998-01-01

    Accumulated evidence indicates that drug resistance is induced in tumor cells treated with a variety of anti-cancer drugs and that there is a possibility of cross-resistance to ionizing radiation associated with induced drug resistance. Most in vitro studies have shown inconsistent results on cross-resistance probably because of different cell lines used and protocols for drug induction. In this study, TE3 human squamous cell carcinoma cell line was treated with a 4-day cycle of cisplatin (cis-diamminedichloroplatinum (II); CDDP) at a concentration yielding 10% cell survival. The treatment was repeated up to 3 cycles. After treatment, cells were tested for CDDP and X-ray sensitivity. One cycle of CDDP treatment induced CDDP resistance with a factor of 1.41 and 2 cycles of the treatment with a factor of 1.86. The resistance factor reached a plateau at 3 cycles of treatment. For analyzing the correlation of CDDP and X-ray resistance, 30 clones from both untreated and 3-cycle treated cells were isolated and analyzed for CDDP and X-ray sensitivity. The sensitivity was expressed as the concentration of drug or dose of X-ray required to reduce the cell survival to x% (Dx). The correlation coefficient of clones with 3-cycle treatment between CDDP and X-ray sensitivity increased gradually by increasing the end point of Dx from D 10 to D 90 , resulting in significant correlation at D 90 . The result suggested that there is a certain common repair-related mechanism affecting both CDDP and X-ray resistance in CDDP-treated cells. (author)

  4. A study of dynamic resistance during small scale resistance spot welding of thin Ni sheets

    International Nuclear Information System (INIS)

    Tan, W; Zhou, Y; Kerr, H W; Lawson, S

    2004-01-01

    The dynamic resistance has been investigated during small scale resistance spot welding (SSRSW) of Ni sheets. Electrical measurements have been correlated with scanning electron microscope images of joint development. The results show that the dynamic resistance curve can be divided into the following stages based on physical change in the workpieces: asperity heating, surface breakdown, asperity softening, partial surface melting, nugget growth and expulsion. These results are also compared and contrasted with dynamic resistance behaviour in large scale RSW

  5. Overview of glyphosate-resistant weeds worldwide.

    Science.gov (United States)

    Heap, Ian; Duke, Stephen O

    2018-05-01

    Glyphosate is the most widely used and successful herbicide discovered to date, but its utility is now threatened by the occurrence of several glyphosate-resistant weed species. Glyphosate resistance first appeared in Lolium rigidum in an apple orchard in Australia in 1996, ironically the year that the first glyphosate-resistant crop (soybean) was introduced in the USA. Thirty-eight weed species have now evolved resistance to glyphosate, distributed across 37 countries and in 34 different crops and six non-crop situations. Although glyphosate-resistant weeds have been identified in orchards, vineyards, plantations, cereals, fallow and non-crop situations, it is the glyphosate-resistant weeds in glyphosate-resistant crop systems that dominate the area infested and growing economic impact. Glyphosate-resistant weeds present the greatest threat to sustained weed control in major agronomic crops because this herbicide is used to control weeds with resistance to herbicides with other sites of action, and no new herbicide sites of action have been introduced for over 30 years. Industry has responded by developing herbicide resistance traits in major crops that allow existing herbicides to be used in a new way. However, over reliance on these traits will result in multiple-resistance in weeds. Weed control in major crops is at a precarious point, where we must maintain the utility of the herbicides we have until we can transition to new weed management technologies. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  6. Antibiotic resistance of lactic acid bacteria

    Directory of Open Access Journals (Sweden)

    Bulajić Snežana

    2008-01-01

    Full Text Available Knowledge on the antibiotic resistance of lactic acid bacteria is still limited, possibly because of the large numbers of genera and species encountered in this group, as well as variances in their resistance spectra. The EFSA considers antibiotic resistances, especially transferable resistances, an important decision criterion for determining a strain's QPS status. There are no approved standards for the phenotypic or genotypic evaluation of antibiotic resistances in food isolates. Also, the choice of media is problematic, as well as the specification of MIC breakpoint values as a result of the large species variation and the possible resulting variation in MIC values between species and genera. The current investigations in this field showed that we might end up with a range of different species- or genus-specific breakpoint values that may further increase the current complexity. Another problem associated with safety determinations of starter strains is that once a resistance phenotype and an associated resistance determinant have been identified, it becomes difficult to show that this determinant is not transferable, especially if the resistance gene is not located on a plasmid and no standard protocols for showing genetic transfer are available. Encountering those problems, the QPS system should allow leeway for the interpretations of results, especially when these relate to the methodology for resistance phenotype determinations, determinations of MIC breakpoints for certain genera, species, or strains, the nondeterminability of a genetic basis of a resistance phenotype and the transferability of resistance genes.

  7. Antiretroviral Resistance in HIV/AIDS Patients

    Science.gov (United States)

    Manosuthi, W.; MD

    2018-03-01

    The higher prevalence of HIV drug resistance was observed in areas with greater ART coverage. The HIV resistance-associated mutations occur when people have inadequate levels of antiretroviral drugs as well as inadequate potency, inadequate adherence, and preexisting resistance. The degree to drug cross-resistance is observed depends on the specific mutations and number of mutation accumulation. In the Southeast Asia region, the challenging of people with treatment failure is the availability and accessibility to subsequent new antiretroviral drugs to construct he second and salvage regimen. Genotypic resistance testing is a useful tool because it can identify the existing drug resistance-associated mutations under the selective drug pressure. Thus, understanding the basic interpretation of HIV drug resistance- associated mutation is useful in guiding clinical decisions for treatment-experienced people living with HIV.

  8. Breast cancer resistance protein is localized at the plasma membrane in mitoxantrone- and topotecan-resistant cell lines

    NARCIS (Netherlands)

    Scheffer, GL; Maliepaard, M; Pijnenborg, ACLM; van Gastelen, MA; Schroeijers, AB; Allen, JD; Ross, DD; van der Valk, P; Dalton, WS; Schellens, JHM; Scheper, RJ; de Jong, MC

    2000-01-01

    Tumor cells may display a multidrug resistant phenotype by overexpression of ATP-binding cassette transporters such as multidrug resistance (,MDR1) P-glycoprotein, multidrug resistance protein 1 (MRP1), and breast cancer resistance protein (BCRP). The presence of BCRP has thus far been reported

  9. Mutation of environmental mycobacteria to resist silver nanoparticles also confers resistance to a common antibiotic.

    Science.gov (United States)

    Larimer, Curtis; Islam, Mohammad Shyful; Ojha, Anil; Nettleship, Ian

    2014-08-01

    Non-tuberculous mycobacteria are a threat to human health, gaining entry to the body through contaminated water systems, where they form persistent biofilms despite extensive attempts at disinfection. Silver is a natural antibacterial agent and in nanoparticle form activity is increased by a high surface area. Silver nanoparticles (AgNPs) have been used as alternative disinfectants in circulating water systems, washing machines and even clothing. However, nanoparticles, like any other antibiotic that has a pervasive durable presence, carry the risk of creating a resistant population. In this study Mycobacterium smegmatis strain mc(2)155 was cultured in AgNP enriched agar such that only a small population survived. Surviving cultures were isolated and re-exposed to AgNPs and AgNO3 and resistance to silver was compared to a negative control. After only a single exposure, mutant M. smegmatis populations were resistant to AgNPs and AgNO3. Further, the silver resistant mutants were exposed to antibiotics to determine if general resistance had been conferred. The minimum inhibitory concentration of isoniazid was four times higher for silver resistant mutants than for strain mc(2)155. However, core resistance was not conferred to other toxic metal ions. The mutants had lower resistance to CuSO4 and ZnSO4 than the mc(2)155 strain.

  10. Molecular Scree ning of Blast Resistance Genes in Rice Germplasms Resistant to Magnaporthe oryzae

    Directory of Open Access Journals (Sweden)

    Liang Yan

    2017-01-01

    Full Text Available Molecular screening of major rice blast resistance genes was determined with molecular markers, which showed close-set linkage to 11 major rice blast resistance genes (Pi-d2, Pi-z, Piz-t, Pi-9, Pi-36, Pi-37, Pi5, Pi-b, Pik-p, Pik-h and Pi-ta2, in a collection of 32 accessions resistant to Magnaporthe oryzae. Out of the 32 accessions, the Pi-d2 and Pi-z appeared to be omnipresent and gave positive express. As the second dominant, Pi-b and Piz-t gene frequencies were 96.9% and 87.5%. And Pik-h and Pik-p gene frequencies were 43.8% and 28.1%, respectively. The molecular marker linkage to Pi-ta2 produced positive bands in eleven accessions, while the molecular marker linkage to Pi-36 and Pi-37 in only three and four accessions, respectively. The natural field evaluation analysis showed that 30 of the 32 accessions were resistant, one was moderately resistant and one was susceptible. Infection types were negatively correlated with the genotype scores of Pi-9, Pi5, Pi-b, Pi-ta2 and Pik-p, although the correlation coefficients were very little. These results are useful in identification and incorporation of functional resistance genes from these germplasms into elite cultivars through marker-assisted selection for improved blast resistance in China and worldwide.

  11. Paediatrics, insulin resistance and the kidney.

    Science.gov (United States)

    Marlais, Matko; Coward, Richard J

    2015-08-01

    Systemic insulin resistance is becoming more prevalent in the young due to modern lifestyles predisposing to the metabolic syndrome and obesity. There is also evidence that there are critical insulin-resistant phases for the developing child, including puberty, and that renal disease per se causes systemic insulin resistance. This review considers the factors that render children insulin resistant, as well as the accumulating evidence that the kidney is an insulin-responsive organ and could be affected by insulin resistance.

  12. Study of multidrug resistance and radioresistance

    International Nuclear Information System (INIS)

    Kang, Yoon Koo; Yoo, Young Do

    1999-04-01

    We investigated the mechanism of 5-FU, adriamycin, radiation resistance in Korean gastric cancer cells. First we investigated the relation between Rb and multidrug resistance. Rb stable transfectants exhibited 5- to 10- fold more resistance to adriamycin than the control cells. These Rb transfectants showed increased MDR1 expression. We also investigated up-regulation in radiation-resistant tumor tissues. HSP27, MRP-8, GST, and NKEF-B were up-regulated in radiation resistant tumor. Expression of NKEF-B was also increased by radiation exposure in Head and Neck cells. These results demonstrated that NKEF-B is a stress response protein and it may have an important role in radiation resistance

  13. Analysis and modeling of resistive switching mechanism oriented to fault tolerance of resistive memory based on memristor

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2014-01-01

    With the progress of the semiconductor industry, resistive memories, especially the memristor, have drawn increasing attention. The resistive memory based on memrsitor has not been commercialized mainly because of data error. Currently, there are more studies focused on fault tolerance of resistive memory. This paper studies the resistive switching mechanism which may have time-varying characteristics. Resistive switching mechanism is analyzed and its respective circuit model is established based on the memristor Spice model

  14. SCC mec typing and antimicrobial resistance of methicillin-resistant Staphylococcus aureus (MRSA) from pigs of Northeast India.

    Science.gov (United States)

    Rajkhowa, S; Sarma, D K; Pegu, S R

    2016-12-01

    Staphylococcus aureus is one of the most important pathogens of both humans and animal. Methicillin-resistant Staphylococcus aureus (MRSA) is an important human pathogen that causes serious infections both in hospitals and communities due to its multidrug resistance tendency. This study was undertaken to characterize the MRSA isolates from pigs and to determine the antimicrobial resistance of these isolates. Forty nine MRSA strains (one strain per positive pig) isolated from pigs of Northeast India were characterized by SCCmec typing and antimicrobial resistance. The overall prevalence of MRSA was 7.02 % with the highest prevalence recorded in pigs aged 1-3 months (P = 0.001) and in nasal samples (P = 0.005). Two SCC mec types (type III and V) were found in Indian pigs with predominance of type V. All isolates were resistant to penicillin. Seventeen resistance groups were observed where 87.75 % isolates showed multidrug resistance (showed resistance to three or more classes of antimicrobials). The most predominant resistance pattern observed was Oxytetracycline + Penicillin + Sulfadiazine + Tetracycline accounting 12.24 % of the isolates. The present study contributes to the understanding of characteristics and antimicrobial resistance of porcine MRSA isolates which in turn will help in devising strategy for the control of this pathogen. Findings of the study also throw light on multidrug resistance MRSA and emphasize the need for judicious use of antimicrobials in animal practice.

  15. Characterization of Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) Resistance to Emamectin Benzoate: Cross-Resistance Patterns and Fitness Cost Analysis.

    Science.gov (United States)

    Afzal, M B S; Shad, S A

    2016-06-01

    Cotton mealybug Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) is a sucking pest of worldwide importance causing huge losses by feeding upon cotton in various parts of the world. Because of the importance of this pest, this research was carried out to select emamectin resistance in P. solenopsis in the laboratory to study cross-resistance, stability, realized heritability, and fitness cost of emamectin resistance. After selection from third generation (G3) to G6, P. solenopsis developed very high emamectin resistance (159.24-fold) when compared to a susceptible unselected population (Unsel pop). Population selected to emamectin benzoate conferred moderate (45.81-fold), low (14.06-fold), and no cross-resistance with abamectin, cypermethrin, and profenofos, respectively compared to the Unsel pop. A significant decline in emamectin resistance was observed in the resistant population when not exposed to emamectin from G7 to G13. The estimated realized heritability (h (2)) for emamectin resistance was 0.84. A high fitness cost was associated with emamectin resistance in P. solenopsis. Results of this study may be helpful in devising insecticide resistance management strategies for P. solenopsis.

  16. Insulin Resistance and Prediabetes

    Science.gov (United States)

    ... Your Baby is Born Monogenic Diabetes Insulin Resistance & Prediabetes Insulin resistance and prediabetes occur when your body ... will stay in the healthy range. What is prediabetes? Prediabetes means your blood glucose levels are higher ...

  17. Flow resistance in rod assemblies

    International Nuclear Information System (INIS)

    Korsun, A.S.; Sokolova, M.S.

    2000-01-01

    The general form of relation between the resistance force and the velocity vector, resistance tensor structure and possible types of anisotropy in the flow thorough such structures as rod or tube assemblies are under discussion. Some questions of experimental determination of volumetric resistance force tensor are also under consideration. (author)

  18. Studying Resistance: Some Cautionary Notes

    Science.gov (United States)

    Dimitriadis, Greg

    2011-01-01

    The question of "resistance" has oriented the field of critical ethnography for several generations now. Indeed, the reproduction-resistance binary has animated much of the most important, critical work in educational studies over the last 30 years. Yet, this reproduction-resistance binary has perhaps calcified in recent years. Such work…

  19. Heat resistant protective hand covering

    Science.gov (United States)

    Tschirch, R. P.; Sidman, K. R.; Arons, I. J. (Inventor)

    1984-01-01

    A heat-resistant aromatic polyamide fiber is described. The outer surface of the shell is coated with a fire-resistant elastomer and liner. Generally conforming and secured to the shell and disposed inwardly of the shell, the liner is made of a felt fabric of temperature-resistant aromatic polymide fiber.

  20. Voltage-gated sodium channel polymorphism and metabolic resistance in pyrethroid-resistant Aedes aegypti from Brazil.

    Science.gov (United States)

    Martins, Ademir Jesus; Lins, Rachel Mazzei Moura de Andrade; Linss, Jutta Gerlinde Birgitt; Peixoto, Alexandre Afranio; Valle, Denise

    2009-07-01

    The nature of pyrethroid resistance in Aedes aegypti Brazilian populations was investigated. Quantification of enzymes related to metabolic resistance in two distinct populations, located in the Northeast and Southeast regions, revealed increases in Glutathione-S-transferase (GST) and Esterase levels. Additionally, polymorphism was found in the IIS6 region of Ae. aegypti voltage-gated sodium channel (AaNa(V)), the pyrethroid target site. Sequences were classified in two haplotype groups, A and B, according to the size of the intron in that region. Rockefeller, a susceptible control lineage, contains only B sequences. In field populations, some A sequences present a substitution in the 1011 site (Ile/Met). When resistant and susceptible individuals were compared, the frequency of both A (with the Met mutation) and B sequences were slightly increased in resistant specimens. The involvement of the AaNa(V) polymorphism in pyrethroid resistance and the metabolic mechanisms that lead to potential cross-resistance between organophosphate and pyrethroids are discussed.

  1. Genetic makeup of amantadine-resistant and oseltamivir-resistant human influenza A/H1N1 viruses.

    Science.gov (United States)

    Zaraket, Hassan; Saito, Reiko; Suzuki, Yasushi; Baranovich, Tatiana; Dapat, Clyde; Caperig-Dapat, Isolde; Suzuki, Hiroshi

    2010-04-01

    The emergence and widespread occurrence of antiviral drug-resistant seasonal human influenza A viruses, especially oseltamivir-resistant A/H1N1 virus, are major concerns. To understand the genetic background of antiviral drug-resistant A/H1N1 viruses, we performed full genome sequencing of prepandemic A/H1N1 strains. Seasonal influenza A/H1N1 viruses, including antiviral-susceptible viruses, amantadine-resistant viruses, and oseltamivir-resistant viruses, obtained from several areas in Japan during the 2007-2008 and 2008-2009 influenza seasons were analyzed. Sequencing of the full genomes of these viruses was performed, and the phylogenetic relationships among the sequences of each individual genome segment were inferred. Reference genome sequences from the Influenza Virus Resource database were included to determine the closest ancestor for each segment. Phylogenetic analysis revealed that the oseltamivir-resistant strain evolved from a reassortant oseltamivir-susceptible strain (clade 2B) which circulated in the 2007-2008 season by acquiring the H275Y resistance-conferring mutation in the NA gene. The oseltamivir-resistant lineage (corresponding to the Northern European resistant lineage) represented 100% of the H1N1 isolates from the 2008-2009 season and further acquired at least one mutation in each of the polymerase basic protein 2 (PB2), polymerase basic protein 1 (PB1), hemagglutinin (HA), and neuraminidase (NA) genes. Therefore, a reassortment event involving two distinct oseltamivir-susceptible lineages, followed by the H275Y substitution in the NA gene and other mutations elsewhere in the genome, contributed to the emergence of the oseltamivir-resistant lineage. In contrast, amantadine-resistant viruses from the 2007-2008 season distinctly clustered in clade 2C and were characterized by extensive amino acid substitutions across their genomes, suggesting that a fitness gap among its genetic components might have driven these mutations to maintain it in the

  2. Adaptive and Mutational Resistance: Role of Porins and Efflux Pumps in Drug Resistance

    Science.gov (United States)

    Fernández, Lucía

    2012-01-01

    Summary: The substantial use of antibiotics in the clinic, combined with a dearth of new antibiotic classes, has led to a gradual increase in the resistance of bacterial pathogens to these compounds. Among the various mechanisms by which bacteria endure the action of antibiotics, those affecting influx and efflux are of particular importance, as they limit the interaction of the drug with its intracellular targets and, consequently, its deleterious effects on the cell. This review evaluates the impact of porins and efflux pumps on two major types of resistance, namely, mutational and adaptive types of resistance, both of which are regarded as key phenomena in the global rise of antibiotic resistance among pathogenic microorganisms. In particular, we explain how adaptive and mutational events can dramatically influence the outcome of antibiotic therapy by altering the mechanisms of influx and efflux of antibiotics. The identification of porins and pumps as major resistance markers has opened new possibilities for the development of novel therapeutic strategies directed specifically against these mechanisms. PMID:23034325

  3. Drug Resistance versus Spiritual Resistance: A Comparative Analysis from the Perspective of Spiritual Health

    Directory of Open Access Journals (Sweden)

    Mohammad Baqer Mohammadi Laini

    2014-12-01

    Full Text Available Background and Objectives: Taking into account a few principles concerning human being, it becomes plausible that the human spirit would also have a similar reaction to spiritual “medicine” provided to it. In order to better understand how this is possible, we must consider the means by which the human spirit becomes resistant to spiritual remedies and compare them with the resistance developed by the body against physical drugs. As such, this research aimed at creating a comparative analysis between the elements that cause the human spirit to become resistant against spiritual remedies in comparison to the body’s resistance against physical treatments (e.g. drugs and other physical treatment. Methods: The research at hand highlights the conclusions of an overall study of the Holy Quran, books of Islamic narration, and extensive Internet research concerning this subject. With these resources, the various aspects of the spirit’s resistance against spiritual remedies were discussed in detail. Results: According to Holy Quran and Islamic narrations: Based on the expectations which God has of man, his heart (i.e. spirit has the potential to fall under one of two categories – positive or negative. An afflicted heart may at times, like an afflicted body, become resistant against a remedy designed to cure it. In both cases of physical or metaphysical resistance, the underlying element that causes this resistance as well as the symptoms which accompany it are similar to one another. Having considered the teachings found in religious texts, this research discovered the underlying causes of spiritual resistance, and outlined some solutions which can prevent this issue from arising in the first place. Conclusion: Based on the standards of health and spiritual wellbeing as outlined in Holy Quran, it is said that some hearts are unhealthy and require treatment and healing. In Holy Quran, there is also no doubt in it, guidance to the God wary

  4. In Silico Assigned Resistance Genes Confer Bifidobacterium with Partial Resistance to Aminoglycosides but Not to Β-Lactams

    Science.gov (United States)

    Fouhy, Fiona; O’Connell Motherway, Mary; Fitzgerald, Gerald F.; Ross, R. Paul; Stanton, Catherine; van Sinderen, Douwe; Cotter, Paul D.

    2013-01-01

    Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria. PMID:24324818

  5. In silico assigned resistance genes confer Bifidobacterium with partial resistance to aminoglycosides but not to β-lactams.

    Directory of Open Access Journals (Sweden)

    Fiona Fouhy

    Full Text Available Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria.

  6. Antibiotics and the resistant microbiome

    DEFF Research Database (Denmark)

    Sommer, Morten; Dantas, Gautam

    2011-01-01

    . Less appreciated are the concomitant changes in the human microbiome in response to these assaults and their contribution to clinical resistance problems. Studies have shown that pervasive changes to the human microbiota result from antibiotic treatment and that resistant strains can persist for years....... Additionally, culture-independent functional characterization of the resistance genes from the microbiome has demonstrated a close evolutionary relationship between resistance genes in the microbiome and in pathogens. Application of these techniques and novel cultivation methods are expected to significantly...... expand our understanding of the interplay between antibiotics and the microbiome....

  7. Rhythms of Resistance and Existence

    DEFF Research Database (Denmark)

    Chaudhary, Nandita; Hviid, Pernille; Marsico, Giuseppina

    2017-01-01

    This book is about resistance in everyday life, illustrated through empirical contexts from different parts of the world. Resistance is a widespread phenomenon in biological, social and psychological domains of human cultural development. Yet, it is not well articulated in the academic literature...... occurrence, the focus here is on everyday resistance as an intentional process where new meaning constructions emerge in thinking, feeling, acting or simply living with others. Resistance is thus conceived as a meaning-making activity that operates at the intersection of personal and collective systems...

  8. CONFERENCE REPORT ANTIRETROVIRAL RESISTANCE

    African Journals Online (AJOL)

    2004-08-02

    Aug 2, 2004 ... development of new agents with potential clinical utility for treating resistant ... data on the emergence of resistance among Thai women given zidovudine ... had achieved full virological suppression (viral load. < 50 copies/ml).

  9. Identification of antimicrobial resistance genes in multidrug-resistant clinical Bacteroides fragilis isolates by whole genome shotgun sequencing

    DEFF Research Database (Denmark)

    Sydenham, Thomas Vognbjerg; Sóki, József; Hasman, Henrik

    2015-01-01

    Bacteroides fragilis constitutes the most frequent anaerobic bacterium causing bacteremia in humans. The genetic background for antimicrobial resistance in B. fragilis is diverse with some genes requiring insertion sequence (IS) elements inserted upstream for increased expression. To evaluate whole...... genome shotgun sequencing as a method for predicting antimicrobial resistance properties, one meropenem resistant and five multidrug-resistant blood culture isolates were sequenced and antimicrobial resistance genes and IS elements identified using ResFinder 2.1 (http...

  10. Understanding The Resistance to Health Information Systems

    OpenAIRE

    David Ackah; Angelito E Alvarado; Heru Santoso Wahito Nugroho; Sanglar Polnok; Wiwin Martiningsih

    2017-01-01

    User resistance is users’ opposition to system implementation. Resistance often occurs as a result of a mismatch between management goals and employee preferences. There are two types of resistance to health iformation system namely active resistance and passive resistance. The manifestation of active resistance are being critical,  blaming/accusing, blocking, fault finding, sabotaging, undermining, ridiculing, intimidating/threatening, starting rumors, appealing to fear, manipulating arguing...

  11. Identifying resistance gene analogs associated with resistances to different pathogens in common bean.

    Science.gov (United States)

    López, Camilo E; Acosta, Iván F; Jara, Carlos; Pedraza, Fabio; Gaitán-Solís, Eliana; Gallego, Gerardo; Beebe, Steve; Tohme, Joe

    2003-01-01

    ABSTRACT A polymerase chain reaction approach using degenerate primers that targeted the conserved domains of cloned plant disease resistance genes (R genes) was used to isolate a set of 15 resistance gene analogs (RGAs) from common bean (Phaseolus vulgaris). Eight different classes of RGAs were obtained from nucleotide binding site (NBS)-based primers and seven from not previously described Toll/Interleukin-1 receptor-like (TIR)-based primers. Putative amino acid sequences of RGAs were significantly similar to R genes and contained additional conserved motifs. The NBS-type RGAs were classified in two subgroups according to the expected final residue in the kinase-2 motif. Eleven RGAs were mapped at 19 loci on eight linkage groups of the common bean genetic map constructed at Centro Internacional de Agricultura Tropical. Genetic linkage was shown for eight RGAs with partial resistance to anthracnose, angular leaf spot (ALS) and Bean golden yellow mosaic virus (BGYMV). RGA1 and RGA2 were associated with resistance loci to anthracnose and BGYMV and were part of two clusters of R genes previously described. A new major cluster was detected by RGA7 and explained up to 63.9% of resistance to ALS and has a putative contribution to anthracnose resistance. These results show the usefulness of RGAs as candidate genes to detect and eventually isolate numerous R genes in common bean.

  12. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    Science.gov (United States)

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-09-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors.

  13. Antibiotic resistance in Burkholderia species.

    Science.gov (United States)

    Rhodes, Katherine A; Schweizer, Herbert P

    2016-09-01

    The genus Burkholderia comprises metabolically diverse and adaptable Gram-negative bacteria, which thrive in often adversarial environments. A few members of the genus are prominent opportunistic pathogens. These include Burkholderia mallei and Burkholderia pseudomallei of the B. pseudomallei complex, which cause glanders and melioidosis, respectively. Burkholderia cenocepacia, Burkholderia multivorans, and Burkholderia vietnamiensis belong to the Burkholderia cepacia complex and affect mostly cystic fibrosis patients. Infections caused by these bacteria are difficult to treat because of significant antibiotic resistance. The first line of defense against antimicrobials in Burkholderia species is the outer membrane penetration barrier. Most Burkholderia contain a modified lipopolysaccharide that causes intrinsic polymyxin resistance. Contributing to reduced drug penetration are restrictive porin proteins. Efflux pumps of the resistance nodulation cell division family are major players in Burkholderia multidrug resistance. Third and fourth generation β-lactam antibiotics are seminal for treatment of Burkholderia infections, but therapeutic efficacy is compromised by expression of several β-lactamases and ceftazidime target mutations. Altered DNA gyrase and dihydrofolate reductase targets cause fluoroquinolone and trimethoprim resistance, respectively. Although antibiotic resistance hampers therapy of Burkholderia infections, the characterization of resistance mechanisms lags behind other non-enteric Gram-negative pathogens, especially ESKAPE bacteria such as Acinetobacter baumannii, Klebsiella pneumoniae and Pseudomonas aeruginosa. Copyright © 2016 Elsevier Ltd. All rights reserved.

  14. Parasitic resistive switching uncovered from complementary resistive switching in single active-layer oxide memory device

    Science.gov (United States)

    Zhu, Lisha; Hu, Wei; Gao, Chao; Guo, Yongcai

    2017-12-01

    This paper reports the reversible transition processes between the bipolar and complementary resistive switching (CRS) characteristics on the binary metal-oxide resistive memory devices of Pt/HfO x /TiN and Pt/TaO x /TiN by applying the appropriate bias voltages. More interestingly, by controlling the amplitude of the negative bias, the parasitic resistive switching effect exhibiting repeatable switching behavior is uncovered from the CRS behavior. The electrical observation of the parasitic resistive switching effect can be explained by the controlled size of the conductive filament. This work confirms the transformation and interrelationship among the bipolar, parasitic, and CRS effects, and thus provides new insight into the understanding of the physical mechanism of the binary metal-oxide resistive switching memory devices.

  15. Heat-resistant materials

    CERN Document Server

    1997-01-01

    This handbook covers the complete spectrum of technology dealing with heat-resistant materials, including high-temperature characteristics, effects of processing and microstructure on high-temperature properties, materials selection guidelines for industrial applications, and life-assessment methods. Also included is information on comparative properties that allows the ranking of alloy performance, effects of processing and microstructure on high-temperature properties, high-temperature oxidation and corrosion-resistant coatings for superalloys, and design guidelines for applications involving creep and/or oxidation. Contents: General introduction (high-temperature materials characteristics, and mechanical and corrosion properties, and industrial applications); Properties of Ferrous Heat-Resistant Alloys (carbon, alloy, and stainless steels; alloy cast irons; and high alloy cast steels); Properties of superalloys (metallurgy and processing, mechanical and corrosion properties, degradation, and protective coa...

  16. Risk Factors for Acquisition of Drug Resistance during Multidrug-Resistant Tuberculosis Treatment, Arkhangelsk Oblast, Russia, 2005–2010

    Science.gov (United States)

    Ershova, Julia; Vlasova, Natalia; Nikishova, Elena; Tarasova, Irina; Eliseev, Platon; Maryandyshev, Andrey O.; Shemyakin, Igor G.; Kurbatova, Ekaterina; Cegielski, J. Peter

    2015-01-01

    Acquired resistance to antituberculosis drugs decreases effective treatment options and the likelihood of treatment success. We identified risk factors for acquisition of drug resistance during treatment for multidrug-resistant tuberculosis (MDR TB) and evaluated the effect on treatment outcomes. Data were collected prospectively from adults from Arkhangelsk Oblast, Russia, who had pulmonary MDR TB during 2005–2008. Acquisition of resistance to capreomycin and of extensively drug-resistant TB were more likely among patients who received 3 effective drugs (9.4% vs. 0% and 8.6% vs. 0.8%, respectively). Poor outcomes were more likely among patients with acquired capreomycin resistance (100% vs. 25.9%), acquired ofloxacin resistance (83.6% vs. 22.7%), or acquired extensive drug resistance (100% vs. 24.4%). To prevent acquired drug resistance and poor outcomes, baseline susceptibility to first- and second-line drugs should be determined quickly, and treatment should be adjusted to contain >3 effective drugs. PMID:25988954

  17. High temperature resistant cermet and ceramic compositions. [for thermal resistant insulators and refractory coatings

    Science.gov (United States)

    Phillips, W. M. (Inventor)

    1978-01-01

    High temperature oxidation resistance, high hardness and high abrasion and wear resistance are properties of cermet compositions particularly to provide high temperature resistant refractory coatings on metal substrates, for use as electrical insulation seals for thermionic converters. The compositions comprise a sintered body of particles of a high temperature resistant metal or metal alloy, preferably molybdenum or tungsten particles, dispersed in and bonded to a solid solution formed of aluminum oxide and silicon nitride, and particularly a ternary solid solution formed of a mixture of aluminum oxide, silicon nitride and aluminum nitride. Ceramic compositions comprising a sintered solid solution of aluminum oxide, silicon nitride and aluminum nitride are also described.

  18. Electrical Methods: Resistivity Methods

    Science.gov (United States)

    Surface electrical resistivity surveying is based on the principle that the distribution of electrical potential in the ground around a current-carrying electrode depends on the electrical resistivities and distribution of the surrounding soils and rocks.

  19. The update of resist outgas testing for metal containing resists at EIDEC

    Science.gov (United States)

    Shiobara, Eishi; Mikami, Shinji

    2017-10-01

    The metal containing resist is one of the candidates for high sensitivity resists. EIDEC has prepared the infrastructure for outgas testing in hydrogen environment for metal containing resists at High Power EUV irradiation tool (HPEUV). We have experimentally obtained the preliminary results of the non-cleanable metal contamination on witness sample using model material by HPEUV [1]. The metal contamination was observed at only the condition of hydrogen environment. It suggested the generation of volatile metal hydrides by hydrogen radicals. Additionally, the metal contamination on a witness sample covered with Ru was not removed by hydrogen radical cleaning. The strong interaction between the metal hydride and Ru was confirmed by the absorption simulation. Recently, ASML announced a resist outgassing barrier technology using Dynamic Gas Lock (DGL) membrane located between projection optics and wafer stage [2], [3]. DGL membrane blocks the diffusion of all kinds of resist outgassing to the projection optics and prevents the reflectivity loss of EUV mirrors. The investigation of DGL membrane for high volume manufacturing is just going on. It extends the limitation of material design for EUV resists. However, the DGL membrane has an impact for the productivity of EUV scanners due to the transmission loss of EUV light and the necessity of periodic maintenance. The well understanding and control of the outgassing characteristics of metal containing resists may help to improve the productivity of EUV scanner. We consider the outgas evaluation for the resists still useful. For the improvement of resist outgas testing by HPEUV, there are some issues such as the contamination limited regime, the optimization of exposure dose to obtain the measurable contamination film thickness and the detection of minimum amount of metal related outgas species generated. The investigation and improvement for these issues are ongoing. The updates will be presented in the conference. This

  20. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  1. The determinants of the antibiotic resistance process.

    Science.gov (United States)

    Franco, Beatriz Espinosa; Altagracia Martínez, Marina; Sánchez Rodríguez, Martha A; Wertheimer, Albert I

    2009-01-01

    The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community. To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem. We conducted a MedLine search using the key words "determinants", "antibiotic", and "antibiotic resistance" to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded. The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance. Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals.

  2. Rolling Resistance Measurement and Model Development

    DEFF Research Database (Denmark)

    Andersen, Lasse Grinderslev; Larsen, Jesper; Fraser, Elsje Sophia

    2015-01-01

    There is an increased focus worldwide on understanding and modeling rolling resistance because reducing the rolling resistance by just a few percent will lead to substantial energy savings. This paper reviews the state of the art of rolling resistance research, focusing on measuring techniques, s......, surface and texture modeling, contact models, tire models, and macro-modeling of rolling resistance...

  3. The benefits of herbicide-resistant crops.

    Science.gov (United States)

    Green, Jerry M

    2012-10-01

    Since 1996, genetically modified herbicide-resistant crops, primarily glyphosate-resistant soybean, corn, cotton and canola, have helped to revolutionize weed management and have become an important tool in crop production practices. Glyphosate-resistant crops have enabled the implementation of weed management practices that have improved yield and profitability while better protecting the environment. Growers have recognized their benefits and have made glyphosate-resistant crops the most rapidly adopted technology in the history of agriculture. Weed management systems with glyphosate-resistant crops have often relied on glyphosate alone, have been easy to use and have been effective, economical and more environmentally friendly than the systems they have replaced. Glyphosate has worked extremely well in controlling weeds in glyphosate-resistant crops for more than a decade, but some key weeds have evolved resistance, and using glyphosate alone has proved unsustainable. Now, growers need to renew their weed management practices and use glyphosate with other cultural, mechanical and herbicide options in integrated systems. New multiple-herbicide-resistant crops with resistance to glyphosate and other herbicides will expand the utility of existing herbicide technologies and will be an important component of future weed management systems that help to sustain the current benefits of high-efficiency and high-production agriculture. Copyright © 2012 Society of Chemical Industry.

  4. Strategies for improving rust resistance in oats

    International Nuclear Information System (INIS)

    Harder, D.E.; McKenzie, R.I.H.; Martens, J.W.; Brown, P.D.

    1977-01-01

    During the history of breeding oats for rust resistance in Canada the known sources of resistance proved inadequate to counter the virulence potential of both stem rust (Puccinia graminis avenae) and crown rust (P. coronata avenae). A major programme to overcome the rust problem was undertaken at Winnipeg, involving four alternate approaches: (1) A search for new resistance in wild oat species, particularly Avena sterilis, has provided a wealth of good resistance to crown rust, but less to stem rust. Much of the A. sterilis-derived crown rust resistance is now being used world-wide; (2) Efforts at synthesizing new resistance by mutation breeding methods have not been successful. Of about seven million plants examined, only one showed significant new resistance, but this was associated with poor plant type; (3) Resistance with low levels of expression but which appears broadly effective has been observed against both stem and crown rusts. It appears that numbers of these low-level genes exist, and that they can be accumulated to provide increasingly effective resistance. Problems in using this type of resistance in a practical way are discussed; (4) Excellent rust resistance has been found in lower ploidy species such as A. barbata, but it was not previously possible to stabilize this resistance in hexaploid species. By using mutagenic treatments attempts have been made to translocate smaller portions of the A. barbata chromosome carrying the resistance to the hexaploid cultivar Rodney. In conclusion, mutation breeding methods at present appear to have limited application in synthesizing new rust-resistant genotypes in oats. The search for already existing genetic resistance and its synthesis into multi-genic resistant lines appears to be the most effective way at present of resolving the rust problem in oats. (author)

  5. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... how antimicrobial resistance both emerges and proliferates among bacteria. Over time, the use of antimicrobial drugs will result in the development of resistant strains of bacteria, complicating clinician's efforts to select the appropriate antimicrobial ...

  6. Candida Species Biofilms’ Antifungal Resistance

    Science.gov (United States)

    Silva, Sónia; Rodrigues, Célia F.; Araújo, Daniela; Rodrigues, Maria Elisa; Henriques, Mariana

    2017-01-01

    Candida infections (candidiasis) are the most prevalent opportunistic fungal infection on humans and, as such, a major public health problem. In recent decades, candidiasis has been associated to Candida species other than Candida albicans. Moreover, biofilms have been considered the most prevalent growth form of Candida cells and a strong causative agent of the intensification of antifungal resistance. As yet, no specific resistance factor has been identified as the sole responsible for the increased recalcitrance to antifungal agents exhibited by biofilms. Instead, biofilm antifungal resistance is a complex multifactorial phenomenon, which still remains to be fully elucidated and understood. The different mechanisms, which may be responsible for the intrinsic resistance of Candida species biofilms, include the high density of cells within the biofilm, the growth and nutrient limitation, the effects of the biofilm matrix, the presence of persister cells, the antifungal resistance gene expression and the increase of sterols on the membrane of biofilm cells. Thus, this review intends to provide information on the recent advances about Candida species biofilm antifungal resistance and its implication on intensification of the candidiasis. PMID:29371527

  7. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  8. Priorities for antibiotic resistance surveillance in Europe

    DEFF Research Database (Denmark)

    Fluit, A. C.; van der Bruggen, J. T.; Aarestrup, Frank Møller

    2006-01-01

    Antibiotic resistance is an increasing global problem. Surveillance studies are needed to monitor resistance development, to guide local empirical therapy, and to implement timely and adequate countermeasures. To achieve this, surveillance studies must have standardised methodologies, be longitud......Antibiotic resistance is an increasing global problem. Surveillance studies are needed to monitor resistance development, to guide local empirical therapy, and to implement timely and adequate countermeasures. To achieve this, surveillance studies must have standardised methodologies...... to the various reservoirs of antibiotic-resistant bacteria, such as hospitalised patients, nursing homes, the community, animals and food. Two studies that could serve as examples of tailored programmes are the European Antimicrobial Resistance Surveillance System (EARSS), which collects resistance data during...... of antibiotic resistance....

  9. Detection of antibiotic resistance and tetracycline resistance genes in Enterobacteriaceae isolated from the Pearl rivers in South China

    International Nuclear Information System (INIS)

    Tao Ran; Ying Guangguo; Su Haochang; Zhou Hongwei; Sidhu, Jatinder P.S.

    2010-01-01

    This study investigated antibiotic resistance profiles and tetracycline resistance genes in Enterobacteriaceae family isolates from the Pearl rivers. The Enterobacteriaceae isolates were tested for susceptibility to seven antibiotics ampicillin, chloramphenicol, ciprofloxacin, levofloxacin, sulphamethoxazole/trimethoprim, tetracycline and trimethoprim. In Liuxi reservoir, with an exception to ampicillin resistant strains (11%) no other antibiotic resistance bacterial strains were detected. However, multiple drug resistance in bacterial isolates from the other sites of Pearl rivers was observed which is possibly due to sewage discharge and input from other anthropogenic sources along the rivers. Four tetracycline resistance genes tet A, tet B, tet C and tet D were detected in the isolates from the rivers. The genes tet A and tet B were widely detected with the detection frequencies of 43% and 40% respectively. Ciprofloxacin and levofloxacin resistant enteric bacteria were also isolated from the pig and duck manures which suggest a wider distribution of human specific drugs in the environment. This investigation provided a baseline data on antibiotic resistance profiles and tetracycline resistance genes in the Pearl rivers delta. - High rates of antibiotic resistance in Enterobacteriaceae from river water are attributed to wastewater contamination.

  10. Detection of antibiotic resistance and tetracycline resistance genes in Enterobacteriaceae isolated from the Pearl rivers in South China

    Energy Technology Data Exchange (ETDEWEB)

    Tao Ran [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Ying Guangguo, E-mail: guangguo.ying@gmail.co [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Su Haochang [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Zhou Hongwei [Department of Environmental Health, School of Public Health and Tropical Medicine, Southern Medical University, 1838 North Guangzhou Street, Baiyun District, Guangzhou 510515 (China); Sidhu, Jatinder P.S. [CSIRO Land and Water, Queensland Bioscience Precinct, 306 Carmody Road, St Lucia QLD 4067 (Australia)

    2010-06-15

    This study investigated antibiotic resistance profiles and tetracycline resistance genes in Enterobacteriaceae family isolates from the Pearl rivers. The Enterobacteriaceae isolates were tested for susceptibility to seven antibiotics ampicillin, chloramphenicol, ciprofloxacin, levofloxacin, sulphamethoxazole/trimethoprim, tetracycline and trimethoprim. In Liuxi reservoir, with an exception to ampicillin resistant strains (11%) no other antibiotic resistance bacterial strains were detected. However, multiple drug resistance in bacterial isolates from the other sites of Pearl rivers was observed which is possibly due to sewage discharge and input from other anthropogenic sources along the rivers. Four tetracycline resistance genes tet A, tet B, tet C and tet D were detected in the isolates from the rivers. The genes tet A and tet B were widely detected with the detection frequencies of 43% and 40% respectively. Ciprofloxacin and levofloxacin resistant enteric bacteria were also isolated from the pig and duck manures which suggest a wider distribution of human specific drugs in the environment. This investigation provided a baseline data on antibiotic resistance profiles and tetracycline resistance genes in the Pearl rivers delta. - High rates of antibiotic resistance in Enterobacteriaceae from river water are attributed to wastewater contamination.

  11. Resistance controllability and variability improvement in a TaO{sub x}-based resistive memory for multilevel storage application

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, A., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr; Song, J.; Hwang, H., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr [Department of Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, Pohang, 790-784 (Korea, Republic of); Deleruyelle, D.; Bocquet, M. [Im2np, UMR CNRS 7334, Aix-Marseille Université, Marseille (France)

    2015-06-08

    In order to obtain reliable multilevel cell (MLC) characteristics, resistance controllability between the different resistance levels is required especially in resistive random access memory (RRAM), which is prone to resistance variability mainly due to its intrinsic random nature of defect generation and filament formation. In this study, we have thoroughly investigated the multilevel resistance variability in a TaO{sub x}-based nanoscale (<30 nm) RRAM operated in MLC mode. It is found that the resistance variability not only depends on the conductive filament size but also is a strong function of oxygen vacancy concentration in it. Based on the gained insights through experimental observations and simulation, it is suggested that forming thinner but denser conductive filament may greatly improve the temporal resistance variability even at low operation current despite the inherent stochastic nature of resistance switching process.

  12. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    Science.gov (United States)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I.-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays.

  13. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    International Nuclear Information System (INIS)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays

  14. CONTACT RESISTANCE MODELING

    Directory of Open Access Journals (Sweden)

    S. V. LOSKUTOV

    2018-05-01

    Full Text Available Purpose. To determine the contribution of the real contact spots distribution in the total conductivity of the conductors contact. Methodology. The electrical contact resistance research was carried out on models. The experimental part of this work was done on paper with a graphite layer with membranes (the first type and conductive liquids with discrete partitions (the second type. Findings. It is shown that the contact electrical resistance is mainly determined by the real area of metal contact. The experimental dependence of the electrical resistance of the second type model on the distance between the electrodes and the potential distribution along the sample surface for the first type model were obtained. The theoretical model based on the principle of electric field superposition was considered. The dependences obtained experimentally and calculated by using the theoretical model are in good agreement. Originality. The regularity of the electrical contact resistance formation on a large number of membranes was researched for the first time. A new model of discrete electrical contact based on the liquid as the conducting environment with nuclear membrane partitions was developed. The conclusions of the additivity of contact and bulk electrical resistance were done. Practical value. Based on these researches, a new experimental method of kinetic macroidentation that as a parameter of the metal surface layer deformation uses the real contact area was developed. This method allows to determine the value of average contact stresses, yield point, change of the stress on the depth of deformation depending on the surface treatment.

  15. Zinc resistance of Staphylococcus aureus of animal origin is strongly associated with methicillin resistance

    DEFF Research Database (Denmark)

    Cavaco, Lina; Hasman, Henrik; Aarestrup, Frank Møller

    2011-01-01

    This study was conducted to determine the occurrence of zinc and copper resistances in methicillin-resistant Staphylococcus aureus (MRSA) from swine and veal calves in a global strain collection.The test population consisted of 476 porcine MRSA isolates from ten European countries, 18 porcine MRSA...... of the pig MRSA from Europe and the seven Chinese isolates belonged to other CCs and 3 isolates were not classified into a CC.All isolates were tested for susceptibility to zinc chloride and copper sulphate using agar dilution and tested by PCR for the czrC gene encoding zinc resistance.Phenotypic zinc...... resistance (MIC>2mM) was observed in 74% (n=324) and 42% (n=39) of European MRSA CC398 from pigs and veal calves, respectively, and in 44% of the Canadian isolates (n=8), but not among the Chinese isolates. Almost all (99%) zinc-resistant MRSA carried czrC. Of the 37 European non-CC398 MRSA, 62% were...

  16. Structural Biology Meets Drug Resistance: An Overview on Multidrug Resistance Transporters

    DEFF Research Database (Denmark)

    Shaheen, Aqsa; Iqbal, Mazhar; Mirza, Osman

    2017-01-01

    . Research on the underlying causes of multidrug resistance in cancerous cells and later on in infectious bacteria revealed the involvement of integral membrane transporters, capable of recognizing a broad range of structurally different molecules as substrates and exporting them from the cell using cellular...... superfamilies, viz., ATP-binding cassette superfamily, major facilitator superfamily and resistance nodulation division superfamily are presented. Further, the future role of structural biology in improving our understanding of drug-transporter interactions and in designing novel inhibitors against MDR pump...... century, mankind has become aware and confronted with the emergence of antibiotic-resistant pathogens. In parallel to the failure of antibiotic therapy against infectious pathogens, there had been continuous reports of cancerous cells not responding to chemotherapy with increase in the duration of therapy...

  17. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Skip to common links HHS U.S. Department of Health and Human Services U.S. Food and Drug Administration ... Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet ...

  18. Rapid diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis using a molecular-based diagnostic algorithm.

    Science.gov (United States)

    Simons, S O; van der Laan, T; Mulder, A; van Ingen, J; Rigouts, L; Dekhuijzen, P N R; Boeree, M J; van Soolingen, D

    2014-10-01

    There is an urgent need for rapid and accurate diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis (MDR-TB). No diagnostic algorithm has been validated in this population. We hypothesized that pncA sequencing added to rpoB mutation analysis can accurately identify patients with pyrazinamide-resistant MDR-TB. We identified from the Dutch national database (2007-11) patients with a positive Mycobacterium tuberculosis culture containing a mutation in the rpoB gene. In these cases, we prospectively sequenced the pncA gene. Results from the rpoB and pncA mutation analysis (pncA added to rpoB) were compared with phenotypic susceptibility testing results to rifampicin, isoniazid and pyrazinamide (reference standard) using the Mycobacterial Growth Indicator Tube 960 system. We included 83 clinical M. tuberculosis isolates containing rpoB mutations in the primary analysis. Rifampicin resistance was seen in 72 isolates (87%), isoniazid resistance in 73 isolates (88%) and MDR-TB in 65 isolates (78%). Phenotypic reference testing identified pyrazinamide-resistant MDR-TB in 31 isolates (48%). Sensitivity of pncA sequencing added to rpoB mutation analysis for detecting pyrazinamide-resistant MDR-TB was 96.8%, the specificity was 94.2%, the positive predictive value was 90.9%, the negative predictive value was 98.0%, the positive likelihood was 16.8 and the negative likelihood was 0.03. In conclusion, pyrazinamide-resistant MDR-TB can be accurately detected using pncA sequencing added to rpoB mutation analysis. We propose to include pncA sequencing in every isolate with an rpoB mutation, allowing for stratification of MDR-TB treatment according to pyrazinamide susceptibility. © 2014 The Authors Clinical Microbiology and Infection © 2014 European Society of Clinical Microbiology and Infectious Diseases.

  19. Circumvention of resistance to photodynamic therapy in doxorubicin-resistant sarcoma by photochemical internalization of gelonin.

    Science.gov (United States)

    Olsen, Cathrine Elisabeth; Berg, Kristian; Selbo, Pål Kristian; Weyergang, Anette

    2013-12-01

    A wide range of anti-cancer therapies have been shown to induce resistance upon repetitive treatment and such adapted resistance may also cause cross-resistance to other treatment modalities. We here show that MES-SA/Dx5 cells with adapted resistance to doxorubicin (DOX) are cross-resistant to photodynamic therapy (PDT). A DOX-induced increased expression of the reactive oxygen species (ROS)-scavenging proteins glutathione peroxidase (GPx) 1 and GPx4 in MES-SA/Dx5 cells was indicated as the mechanism of resistance to PDT in line with the reduction in PDT-generated ROS observed in this cell line. ROS-induced p38 activation was, in addition, shown to be reduced to one-third of the signal of the parental MES-SA cells 2h after PDT, and addition of the p38 inhibitor SB203580 confirmed p38 activation as a death signal after PDT in the MES-SA cells. The MES-SA/Dx5 cells were also cross-resistant to ionizing radiation in agreement with the increased GPx1 and GPx4 expression. Surprisingly, PDT-induced endo/lysosomal release of the ribosome-inactivating protein gelonin (photochemical internalization (PCI)) was more effective in the PDT-resistant MES-SA/Dx5 cells, as measured by synergy calculations in both cell lines. Analysis of death-inducing signaling indicated a low activation of caspase-3 and a strong PARP I cleavage after PDT and PCI in both cell lines. The PARP I activation was, however, stronger after PCI than after PDT in the MES-SA cells, but not in the MES-SA/Dx5 cells, and therefore cannot explain the strong PCI effect in the MES-SA/Dx5 cells. In conclusion PCI of recombinant gelonin circumvents ROS resistance in an apoptosis-independent manner. © 2013 Elsevier Inc. All rights reserved.

  20. Deciphering MCR-2 Colistin Resistance.

    Science.gov (United States)

    Sun, Jian; Xu, Yongchang; Gao, Rongsui; Lin, Jingxia; Wei, Wenhui; Srinivas, Swaminath; Li, Defeng; Yang, Run-Shi; Li, Xing-Ping; Liao, Xiao-Ping; Liu, Ya-Hong; Feng, Youjun

    2017-05-09

    Antibiotic resistance is a prevalent problem in public health worldwide. In general, the carbapenem β-lactam antibiotics are considered a final resort against lethal infections by multidrug-resistant bacteria. Colistin is a cationic polypeptide antibiotic and acts as the last line of defense for treatment of carbapenem-resistant bacteria. Very recently, a new plasmid-borne colistin resistance gene, mcr-2 , was revealed soon after the discovery of the paradigm gene mcr-1 , which has disseminated globally. However, the molecular mechanisms for MCR-2 colistin resistance are poorly understood. Here we show a unique transposon unit that facilitates the acquisition and transfer of mcr-2 Evolutionary analyses suggested that both MCR-2 and MCR-1 might be traced to their cousin phosphoethanolamine (PEA) lipid A transferase from a known polymyxin producer, Paenibacillus Transcriptional analyses showed that the level of mcr-2 transcripts is relatively higher than that of mcr-1 Genetic deletions revealed that the transmembrane regions (TM1 and TM2) of both MCR-1 and MCR-2 are critical for their location and function in bacterial periplasm, and domain swapping indicated that the TM2 is more efficient than TM1. Matrix-assisted laser desorption ionization-time of flight mass spectrometry (MALDI-TOF MS) confirmed that all four MCR proteins (MCR-1, MCR-2, and two chimeric versions [TM1-MCR-2 and TM2-MCR-1]) can catalyze chemical modification of lipid A moiety anchored on lipopolysaccharide (LPS) with the addition of phosphoethanolamine to the phosphate group at the 4' position of the sugar. Structure-guided site-directed mutagenesis defined an essential 6-residue-requiring zinc-binding/catalytic motif for MCR-2 colistin resistance. The results further our mechanistic understanding of transferable colistin resistance, providing clues to improve clinical therapeutics targeting severe infections by MCR-2-containing pathogens. IMPORTANCE Carbapenem and colistin are the last line of

  1. Overall Low Extended-Spectrum Cephalosporin Resistance but high Azithromycin Resistance in Neisseria gonorrhoeae in 24 European Countries, 2015.

    Science.gov (United States)

    Cole, Michelle J; Spiteri, Gianfranco; Jacobsson, Susanne; Woodford, Neil; Tripodo, Francesco; Amato-Gauci, Andrew J; Unemo, Magnus

    2017-09-11

    Surveillance of Neisseria gonorrhoeae antimicrobial susceptibility in Europe is performed through the European Gonococcal Antimicrobial Surveillance Programme (Euro-GASP), which additionally provides data to inform the European gonorrhoea treatment guideline; currently recommending ceftriaxone 500 mg plus azithromycin 2 g as first-line therapy. We present antimicrobial susceptibility data from 24 European countries in 2015, linked to epidemiological data of patients, and compare the results to Euro-GASP data from previous years. Antimicrobial susceptibility testing by MIC gradient strips or agar dilution methodology was performed on 2134 N. gonorrhoeae isolates and interpreted using EUCAST breakpoints. Patient variables associated with resistance were established using logistic regression to estimate odds ratios (ORs). In 2015, 1.7% of isolates were cefixime resistant compared to 2.0% in 2014. Ceftriaxone resistance was detected in only one (0.05%) isolate in 2015, compared with five (0.2%) in 2014. Azithromycin resistance was detected in 7.1% of isolates in 2015 (7.9% in 2014), and five (0.2%) isolates displayed high-level azithromycin resistance (MIC ≥ 256 mg/L) compared with one (0.05%) in 2014. Ciprofloxacin resistance remained high (49.4%, vs. 50.7% in 2014). Cefixime resistance significantly increased among heterosexual males (4.1% vs. 1.7% in 2014), which was mainly attributable to data from two countries with high cefixime resistance (~11%), however rates among men-who-have-sex-with-men (MSM) and females continued to decline to 0.5% and 1%, respectively. Azithromycin resistance in MSM and heterosexual males was higher (both 8.1%) than in females (4.9% vs. 2.2% in 2014). The association between azithromycin resistance and previous gonorrhoea infection, observed in 2014, continued in 2015 (OR 2.1, CI 1.2-3.5, p resistance and low overall resistance to ceftriaxone and cefixime. The low cephalosporin resistance may be attributable to the effectiveness

  2. Quinolone resistance: much more than predicted

    Directory of Open Access Journals (Sweden)

    Alvaro eHernandez

    2011-02-01

    Full Text Available Since quinolones are synthetic antibiotics, it was predicted that mutations in target genes would be the only mechanism through which resistance could be acquired, because there will not be quinolone resistance genes in nature. Contrary to this prediction, a variety of elements ranging from efflux pumps, target-protecting proteins and even quinolone-modifying enzymes have been shown to contribute to quinolone resistance. The finding of some of these elements in plasmids indicates that quinolone resistance can be transferable. As a result, there has been a developing interest on the reservoirs for quinolone resistance genes and on the potential risks associated with the use of these antibiotics in non-clinical environments. As a matter of fact, plasmid-encoded, quinolone-resistance qnr genes originated in the chromosome of aquatic bacteria, thus the use of quinolones in fish farming might constitute a risk for the emergence of resistance. Failure to predict the development of quinolone resistance reinforces the need of taking into consideration the wide plasticity of biological systems for future predictions. This plasticity allows pathogens to deal with toxic compounds, including those with a synthetic origin as quinolones.

  3. Bedaquiline resistance: Its emergence, mechanism and prevention.

    Science.gov (United States)

    Nguyen, Thi Van Anh; Anthony, Richard M; Bañuls, Anne-Laure; Vu, Dinh Hoa; Alffenaar, Jan-Willem C

    2017-11-08

    Bedaquiline, a new anti-tuberculosis drug, has already been used in more than 50 countries. The emergence of bedaquiline resistance is alarming, as it may result in the rapid loss of this new drug. This paper aims to review currently identified mechanisms of resistance, the emergence of bedaquiline resistance, and discuss strategies to delay the resistance acquisition. In vitro and clinical studies as well as reports from the compassionate use have identified the threat of bedaquiline resistance and cross-resistance with clofazimine, emphasizing the crucial need for the systematic surveillance of resistance. Currently known mechanisms of resistance include mutations within the atpE, Rv0678 and pepQ genes. The development of standardized drug susceptibility testing (DST) for bedaquiline is urgently needed.Understanding any target and non-target based mechanisms is essential to minimize the resistance development and treatment failure, help to develop appropriate DST for bedaquiline and genetic based resistance screening. © The Author 2017. Published by Oxford University Press for the Infectious Diseases Society of America. All rights reserved. For permissions, e-mail: journals.permissions@oup.com.

  4. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter

    DEFF Research Database (Denmark)

    Li, Lili; Olsen, Rikke Heidemann; Ye, Lei

    2016-01-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bac......The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram......-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across.......6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor– encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance...

  5. Detection of low frequency multi-drug resistance and novel putative maribavir resistance in immunocompromised paediatric patients with cytomegalovirus

    Directory of Open Access Journals (Sweden)

    Charlotte Jane Houldcroft

    2016-09-01

    Full Text Available Human cytomegalovirus (HCMV is a significant pathogen in immunocompromised individuals, with the potential to cause fatal pneumonitis and colitis, as well as increasing the risk of organ rejection in transplant patients. With the advent of new anti-HCMV drugs there is therefore considerable interest in using virus sequence data to monitor emerging resistance to antiviral drugs in HCMV viraemia and disease, including the identification of putative new mutations. We used target-enrichment to deep sequence HCMV DNA from 11 immunosuppressed paediatric patients receiving single or combination anti-HCMV treatment, serially sampled over 1-27 weeks. Changes in consensus sequence and resistance mutations were analysed for three ORFs targeted by anti-HCMV drugs and the frequencies of drug resistance mutations monitored. Targeted-enriched sequencing of clinical material detected mutations occurring at frequencies of 2%. Seven patients showed no evidence of drug resistance mutations. Four patients developed drug resistance mutations a mean of 16 weeks after starting treatment. In two patients, multiple resistance mutations accumulated at frequencies of 20% or less, including putative maribavir and ganciclovir resistance mutations P522Q (UL54 and C480F (UL97. In one patient, resistance was detected 14 days earlier than by PCR. Phylogenetic analysis suggested recombination or superinfection in one patient. Deep sequencing of HCMV enriched from clinical samples excluded resistance in 7 of eleven subjects and identified resistance mutations earlier than conventional PCR-based resistance testing in 2 patients. Detection of multiple low level resistance mutations was associated with poor outcome.

  6. The resistive tearing instability for generalized resistivity models: Applications

    International Nuclear Information System (INIS)

    Birk, G.T.; Otto, A.

    1991-01-01

    The dispersion relation for the resistive tearing mode is investigated with a general form of the resistivity that allows for evaluating the dependence of the mode on the anomalous dissipation caused by the lower-hybrid-drift turbulence and the ion-acoustic turbulence. The coupling of the plasma dynamic and radiative processes due to a temperature-dependent Spitzer resistivity are also discussed. The dispersion relation is solved numerically for two-dimensional equilibrium configurations with applications to the Earth's magnetosphere and the solar corona. In the case of the parameter regimes of the magnetopause and the solar corona, growth rates that result in realistic time scales for the respective dynamic processes as flux transfer events and solar flares were found. Moreover, the influence of a nonvanishing component of the magnetic field normal to the current sheet on the dispersion relation is examined. The normal field component leads to overstable modes and the growth rate of the pure tearing mode is not altered, whereas the radiative tearing mode is damped effectively

  7. Detection of Macrolide, Lincosamide and Streptogramin Resistance among Methicillin Resistant Staphylococcus aureus (MRSA in Mumbai

    Directory of Open Access Journals (Sweden)

    Arunagiri Subramanian

    2015-01-01

    Full Text Available Background: The increase in incidence of Methicillin Resistant Staphyloccocus aureus (MRSA and its extraordinary potential to develop antimicrobial resistance has highlighted the need for better agents to treat such infections. This has led to a renewed interest in use of new drugs for treatment with clindamycin and quinuprsitin-dalfopristin being the preferred choice for treatment. Aim & Objectives: This study was undertaken to detect the prevalence of MacrolideLincosamide-Streptogramin (MLS resistance among clinical isolates of MRSA.Material and Methods:Two hundred and thirty clinical isolates of S. aureus were subjected to routine antibiotic susceptibility testing including cefoxitin, erythromycin and quinupristindalfopristin. Inducible resistance to clindamycin was tested by 'D' test as per Clinical and Laboratory Standards Institute (CLSI guidelines. Results: Out of all S. aureus isolates, 93.91% were identified as MRSA. In the disc diffusion testing, 81.5% of isolates showed erythromycin resistance. Among these, the prevalence of constitutive (cMLS , inducible (iMLS b b and MS-phenotype were 35.80%, 31.82% and 32.39% respectively by the D-test method. 77.8% of isolates were resistant to quinupristin-dalfopristin and the Minimum Inhibitory Concentration (MIC ranged from 4–32 µg/ml. 89.20% of isolates were resistant to both quinupristin-dalfopristin and erythromycin of which 35.03%, 35.67% and 29.30% belonged to iMLS , cMLS and MS phenotype respectively. Conclusion: The emergence of quinupristindalfopristin resistance and MLS phenotypes brings b about the need for the simple and reliable D-test in routine diagnosis and further susceptibility testing for proper antimicrobial therapy.

  8. A fractal-like resistive network

    International Nuclear Information System (INIS)

    Saggese, A; De Luca, R

    2014-01-01

    The equivalent resistance of a fractal-like network is calculated by means of approaches similar to those employed in defining the equivalent resistance of an infinite ladder. Starting from an elementary triangular circuit, a fractal-like network, named after Saggese, is developed. The equivalent resistance of finite approximations of this network is measured, and the didactical implications of the model are highlighted. (paper)

  9. Resistance Status and Resistance Mechanisms in a Strain of Aedes aegypti (Diptera: Culicidae) From Puerto Rico.

    Science.gov (United States)

    Estep, Alden S; Sanscrainte, Neil D; Waits, Christy M; Louton, Jessica E; Becnel, James J

    2017-11-07

    Puerto Rico (PR) has a long history of vector-borne disease and insecticide-resistant Aedes aegypti (L.). Defining contributing mechanisms behind phenotypic resistance is critical for effective vector control intervention. However, previous studies from PR have each focused on only one mechanism of pyrethroid resistance. This study examines the contribution of P450-mediated enzymatic detoxification and sodium channel target site changes to the overall resistance phenotype of Ae. aegypti collected from San Juan, PR, in 2012. Screening of a panel of toxicants found broad resistance relative to the lab susceptible Orlando (ORL1952) strain. We identified significant resistance to representative Type I, Type II, and nonester pyrethroids, a sodium channel blocker, and a sodium channel blocking inhibitor, all of which interact with the sodium channel. Testing of fipronil, a chloride channel agonist, also showed low but significant levels of resistance. In contrast, the PR and ORL1952 strains were equally susceptible to chlorfenapyr, which has been suggested as an alternative public health insecticide. Molecular characterization of the strain indicated that two common sodium channel mutations were fixed in the population. Topical bioassay with piperonyl butoxide (PBO) indicated cytochrome P450-mediated detoxification accounts for approximately half of the resistance profile. Transcript expression screening of cytochrome P450s and glutathione-S-transferases identified the presence of overexpressed transcripts. This study of Puerto Rican Ae. aegypti with significant contributions from both genetic changes and enzymatic detoxification highlights the necessity of monitoring for resistance but also defining the multiple resistance mechanisms to inform effective mosquito control. Published by Oxford University Press on behalf of Entomological Society of America 2017. This work is written by US Government employees and is in the public domain in the US.

  10. Phenotypic and genotypic characterization of antibiotic resistance of methicillin-resistant Staphylococcus aureus isolated from hospital food

    Directory of Open Access Journals (Sweden)

    Farhad Safarpoor Dehkordi

    2017-10-01

    Full Text Available Abstract Background Pathogenic biotypes of the Methicillin-resistant Staphylococcus aureus (MRSA strains are considered to be one of the major cause of food-borne diseases in hospitals. The present investigation was done to study the pattern of antibiotic resistance and prevalence of antibiotic resistance genes of different biotypes of the MRSA strains isolated from various types of hospital food samples. Methods Four-hundred and eighty-five raw and cooked hospital food samples were cultured and MRSA strains were identified using the oxacillin and cefoxitin disk diffusion tests and mecA-based PCR amplification. Isolated strains were subjected to biotyping and their antibiotic resistance patterns were analyzed using the disk diffusion and PCR methods. Results Prevalence of S. aureus and MRSA were 9.69 and 7.62%, respectively. Meat and chicken barbecues had the highest prevalence of MRSA. Prevalence of bovine, ovine, poultry and human-based biotypes in the MRSA strains were 8.10, 8.10, 32.43 and 48.64%, respectively. All of the MRSA strains recovered from soup, salad and rice samples were related to human-based biotypes. MRSA strains harbored the highest prevalence of resistance against penicillin (100%, ceftaroline (100%, tetracycline (100%, erythromycin (89.18% and trimethoprim-sulfamethoxazole (83.78%. TetK (72.97%, ermA (72.97%, msrA (64.86% and aacA-D (62.16% were the most commonly detected antibiotic resistance genes. Conclusions Pattern of antibiotic resistance and also distribution of antibiotic resistance genes were related to the biotype of MRSA strains. Presence of multi-drug resistance and also simultaneous presence of several antibiotic resistance genes in some MRSA isolates showed an important public health issue Further researches are required to found additional epidemiological aspects of the MRSA strains in hospital food samples.

  11. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845.

    Science.gov (United States)

    Marti, Roger; Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H; Hummerjohann, Jörg

    2017-08-01

    We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. Copyright © 2017 Marti et al.

  12. Glycosphingolipids and insulin resistance

    NARCIS (Netherlands)

    Langeveld, Mirjam; Aerts, Johannes M. F. G.

    2009-01-01

    Obesity is associated with an increased risk for insulin resistance, a state characterized by impaired responsiveness of liver, muscle and adipose tissue to insulin. One class of lipids involved in the development of insulin resistance are the (glyco)sphingolipids. Ceramide, the most simple

  13. Herbicide resistance-endowing ACCase gene mutations in hexaploid wild oat (Avena fatua): insights into resistance evolution in a hexaploid species

    Science.gov (United States)

    Yu, Q; Ahmad-Hamdani, M S; Han, H; Christoffers, M J; Powles, S B

    2013-01-01

    Many herbicide-resistant weed species are polyploids, but far too little about the evolution of resistance mutations in polyploids is understood. Hexaploid wild oat (Avena fatua) is a global crop weed and many populations have evolved herbicide resistance. We studied plastidic acetyl-coenzyme A carboxylase (ACCase)-inhibiting herbicide resistance in hexaploid wild oat and revealed that resistant individuals can express one, two or three different plastidic ACCase gene resistance mutations (Ile-1781-Leu, Asp-2078-Gly and Cys-2088-Arg). Using ACCase resistance mutations as molecular markers, combined with genetic, molecular and biochemical approaches, we found in individual resistant wild-oat plants that (1) up to three unlinked ACCase gene loci assort independently following Mendelian laws for disomic inheritance, (2) all three of these homoeologous ACCase genes were transcribed, with each able to carry its own mutation and (3) in a hexaploid background, each individual ACCase resistance mutation confers relatively low-level herbicide resistance, in contrast to high-level resistance conferred by the same mutations in unrelated diploid weed species of the Poaceae (grass) family. Low resistance conferred by individual ACCase resistance mutations is likely due to a dilution effect by susceptible ACCase expressed by homoeologs in hexaploid wild oat and/or differential expression of homoeologous ACCase gene copies. Thus, polyploidy in hexaploid wild oat may slow resistance evolution. Evidence of coexisting non-target-site resistance mechanisms among wild-oat populations was also revealed. In all, these results demonstrate that herbicide resistance and its evolution can be more complex in hexaploid wild oat than in unrelated diploid grass weeds. Our data provide a starting point for the daunting task of understanding resistance evolution in polyploids. PMID:23047200

  14. Identifying clinically relevant drug resistance genes in drug-induced resistant cancer cell lines and post-chemotherapy tissues.

    Science.gov (United States)

    Tong, Mengsha; Zheng, Weicheng; Lu, Xingrong; Ao, Lu; Li, Xiangyu; Guan, Qingzhou; Cai, Hao; Li, Mengyao; Yan, Haidan; Guo, You; Chi, Pan; Guo, Zheng

    2015-12-01

    Until recently, few molecular signatures of drug resistance identified in drug-induced resistant cancer cell models can be translated into clinical practice. Here, we defined differentially expressed genes (DEGs) between pre-chemotherapy colorectal cancer (CRC) tissue samples of non-responders and responders for 5-fluorouracil and oxaliplatin-based therapy as clinically relevant drug resistance genes (CRG5-FU/L-OHP). Taking CRG5-FU/L-OHP as reference, we evaluated the clinical relevance of several types of genes derived from HCT116 CRC cells with resistance to 5-fluorouracil and oxaliplatin, respectively. The results revealed that DEGs between parental and resistant cells, when both were treated with the corresponding drug for a certain time, were significantly consistent with the CRG5-FU/L-OHP as well as the DEGs between the post-chemotherapy CRC specimens of responders and non-responders. This study suggests a novel strategy to extract clinically relevant drug resistance genes from both drug-induced resistant cell models and post-chemotherapy cancer tissue specimens.

  15. Resisting persuasion by the skin of one's teeth: the hidden success of resisted persuasive messages.

    Science.gov (United States)

    Tormala, Zakary L; Clarkson, Joshua J; Petty, Richard E

    2006-09-01

    Recent research has suggested that when people resist persuasion they can perceive this resistance and, under specifiable conditions, become more certain of their initial attitudes (e.g., Z. L. Tormala & R. E. Petty, 2002). Within the same metacognitive framework, the present research provides evidence for the opposite phenomenon--that is, when people resist persuasion, they sometimes become less certain of their initial attitudes. Four experiments demonstrate that when people perceive that they have done a poor job resisting persuasion (e.g., they believe they generated weak arguments against a persuasive message), they lose attitude certainty, show reduced attitude-behavioral intention correspondence, and become more vulnerable to subsequent persuasive attacks. These findings suggest that resisted persuasive attacks can sometimes have a hidden yet important success by reducing the strength of the target attitude. ((c) 2006 APA, all rights reserved).

  16. Electrical resistivity testing for as-built concrete performance assessment of chloride penetration resistance

    NARCIS (Netherlands)

    Polder, R.B.; Peelen, W.H.A.

    2014-01-01

    The electrical resistivity of concrete can provide information about its transport properties, which is relevant for durability performance. For example, resistivity is inversely proportional to chloride diffusion, at least within similar concrete compositions. A methodology is proposed for on-site

  17. Monitoring of drug resistance amplification and attenuation with the use of tetracycline-resistant bacteria during wastewater treatment

    Science.gov (United States)

    Harnisz, Monika; Korzeniewska, Ewa; Niestępski, Sebastian; Osińska, Adriana; Nalepa, Beata

    2017-11-01

    The objective of this study was to monitor changes (amplification or attenuation) in antibiotic resistance during wastewater treatment based on the ecology of tetracycline-resistant bacteria. The untreated and treated wastewater were collected in four seasons. Number of tetracycline-(TETR) and oxytetracycline-resistant (OTCR) bacteria, their qualitative composition, minimum inhibitory concentrations (MICs), sensitivity to other antibiotics, and the presence of tet (A, B, C, D, E) resistance genes were determined. TETR and OTCR counts in untreated wastewater were 100 to 1000 higher than in treated effluent. OTCR bacterial counts were higher than TETR populations in both untreated and treated wastewater. TETR isolates were not dominated by a single bacterial genus or species, whereas Aeromonas hydrophila and Aeromonas sobria were the most common in OTCR isolates. The treatment process attenuated the drug resistance of TETR bacteria and amplified the resistance of OTCR bacteria. In both microbial groups, the frequency of tet(A) gene increased in effluent in comparison with untreated wastewater. Our results also indicate that treated wastewater is a reservoir of multiple drug-resistant bacteria as well as resistance determinants which may pose a health hazard for humans and animals when released to the natural environment.

  18. Spread of carbapenem-resistant Acinetobacter baumannii global clone 2 in Asia and AbaR-type resistance islands.

    Science.gov (United States)

    Kim, Dae Hun; Choi, Ji-Young; Kim, Hae Won; Kim, So Hyun; Chung, Doo Ryeon; Peck, Kyong Ran; Thamlikitkul, Visanu; So, Thomas Man-Kit; Yasin, Rohani M D; Hsueh, Po-Ren; Carlos, Celia C; Hsu, Li Yang; Buntaran, Latre; Lalitha, M K; Song, Jae-Hoon; Ko, Kwan Soo

    2013-11-01

    In this surveillance study, we identified the genotypes, carbapenem resistance determinants, and structural variations of AbaR-type resistance islands among carbapenem-resistant Acinetobacter baumannii (CRAB) isolates from nine Asian locales. Clonal complex 92 (CC92), corresponding to global clone 2 (GC2), was the most prevalent in most Asian locales (83/108 isolates; 76.9%). CC108, or GC1, was a predominant clone in India. OXA-23 oxacillinase was detected in CRAB isolates from most Asian locales except Taiwan. blaOXA-24 was found in CRAB isolates from Taiwan. AbaR4-type resistance islands, which were divided into six subtypes, were identified in most CRAB isolates investigated. Five isolates from India, Malaysia, Singapore, and Hong Kong contained AbaR3-type resistance islands. Of these, three isolates harbored both AbaR3- and AbaR4-type resistance islands simultaneously. In this study, GC2 was revealed as a prevalent clone in most Asian locales, with the AbaR4-type resistance island predominant, with diverse variants. The significance of this study lies in identifying the spread of global clones of carbapenem-resistant A. baumannii in Asia.

  19. Antimicrobial resistance mechanisms among Campylobacter.

    Science.gov (United States)

    Wieczorek, Kinga; Osek, Jacek

    2013-01-01

    Campylobacter jejuni and Campylobacter coli are recognized as the most common causative agents of bacterial gastroenteritis in the world. Humans most often become infected by ingesting contaminated food, especially undercooked chicken, but also other sources of bacteria have been described. Campylobacteriosis is normally a self-limiting disease. Antimicrobial treatment is needed only in patients with more severe disease and in those who are immunologically compromised. The most common antimicrobial agents used in the treatment of Campylobacter infections are macrolides, such as erythromycin, and fluoroquinolones, such as ciprofloxacin. Tetracyclines have been suggested as an alternative choice in the treatment of clinical campylobacteriosis but in practice are not often used. However, during the past few decades an increasing number of resistant Campylobacter isolates have developed resistance to fluoroquinolones and other antimicrobials such as macrolides, aminoglycosides, and beta-lactams. Trends in antimicrobial resistance have shown a clear correlation between use of antibiotics in the veterinary medicine and animal production and resistant isolates of Campylobacter in humans. In this review, the patterns of emerging resistance to the antimicrobial agents useful in treatment of the disease are presented and the mechanisms of resistance to these drugs in Campylobacter are discussed.

  20. Resistant starch in cassava products

    Directory of Open Access Journals (Sweden)

    Bruna Letícia Buzati Pereira

    2014-06-01

    Full Text Available Found in different foods, starch is the most important source of carbohydrates in the diet. Some factors present in starchy foods influence the rate at which the starch is hydrolyzed and absorbed in vivo. Due the importance of cassava products in Brazilian diet, the objective of this study was to analyze total starch, resistant starch, and digestible starch contents in commercial cassava products. Thirty three commercial cassava products from different brands, classifications, and origin were analyzed. The method used for determination of resistant starch consisted of an enzymatic process to calculate the final content of resistant starch considering the concentration of glucose released and analyzed. The results showed significant differences between the products. Among the flours and seasoned flours analyzed, the highest levels of resistant starch were observed in the flour from Bahia state (2.21% and the seasoned flour from Paraná state (1.93%. Starch, tapioca, and sago showed levels of resistant starch ranging from 0.56 to 1.1%. The cassava products analyzed can be considered good sources of resistant starch; which make them beneficial products to the gastrointestinal tract.

  1. Resist materials for proton micromachining

    International Nuclear Information System (INIS)

    Kan, J.A. van; Sanchez, J.L.; Xu, B.; Osipowicz, T.; Watt, F.

    1999-01-01

    The production of high aspect ratio microstructures is a potential growth area. The combination of deep X-ray lithography with electroforming and micromolding (i.e. LIGA) is one of the main techniques used to produce 3D microstructures. The new technique of proton micromachining employs focused MeV protons in a direct write process which is complementary to LIGA, e.g. micromachining with 2 MeV protons results in microstructures with a height of 63 μm and lateral sub-micrometer resolution in PMMA resist. The aim of this paper is to investigate the capabilities of proton micromachining as a lithographic technique. This involves the study of different types of resists. The dose distribution of high molecular weight PMMA is compared with three other types of resist: First the positive photo resist AZ P4620 will be discussed and then PMGI SF 23, which can be used as a deep UV, e-beam or X-ray resist. Finally SU-8, a new deep UV negative type of chemically amplified resist will be discussed. All these polymers are applied using the spin coating technique at thicknesses of between 1 and 36 μm

  2. The (Street) Art of Resistance

    DEFF Research Database (Denmark)

    Awad, Sarah H.; Wagoner, Brady; Glaveanu, Vlad Petre

    2017-01-01

    This chapter focuses on the interrelation between resistance, novelty and social change We will consider resistance as both a social and individual phenomenon, a constructive process that articulates continuity and change and as an act oriented towards an imagined future of different communities....... In this account, resistance is thus a creative act having its own dynamic and, most of all, aesthetic dimension. In fact, it is one such visibly artistic form of resistance that will be considered here, the case of street art as a tool of social protest and revolution in Egypt. Street art is commonly defined...... in sharp contrast with high or fine art because of its collective nature and anonymity, its different kind of aesthetics, and most of all its disruptive, ‘anti-social’ outcomes. With the use of illustrations, we will argue here that street art is prototypical of a creative form of resistance, situated...

  3. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    Science.gov (United States)

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  4. Simulation of variation of apparent resistivity in resistivity surveys using finite difference modelling with Monte Carlo analysis

    Science.gov (United States)

    Aguirre, E. E.; Karchewski, B.

    2017-12-01

    DC resistivity surveying is a geophysical method that quantifies the electrical properties of the subsurface of the earth by applying a source current between two electrodes and measuring potential differences between electrodes at known distances from the source. Analytical solutions for a homogeneous half-space and simple subsurface models are well known, as the former is used to define the concept of apparent resistivity. However, in situ properties are heterogeneous meaning that simple analytical models are only an approximation, and ignoring such heterogeneity can lead to misinterpretation of survey results costing time and money. The present study examines the extent to which random variations in electrical properties (i.e. electrical conductivity) affect potential difference readings and therefore apparent resistivities, relative to an assumed homogeneous subsurface model. We simulate the DC resistivity survey using a Finite Difference (FD) approximation of an appropriate simplification of Maxwell's equations implemented in Matlab. Electrical resistivity values at each node in the simulation were defined as random variables with a given mean and variance, and are assumed to follow a log-normal distribution. The Monte Carlo analysis for a given variance of electrical resistivity was performed until the mean and variance in potential difference measured at the surface converged. Finally, we used the simulation results to examine the relationship between variance in resistivity and variation in surface potential difference (or apparent resistivity) relative to a homogeneous half-space model. For relatively low values of standard deviation in the material properties (<10% of mean), we observed a linear correlation between variance of resistivity and variance in apparent resistivity.

  5. Emergence of resistant pathogens against colistin

    OpenAIRE

    Rakesh Kumar; Baljit Jassal; Bhupinder Kumar

    2015-01-01

    Emergence of resistant strain to antimicrobials is a growing problem worldwide. Here, we report a case of multidrug-resistant Klebsiella pneumoniae and Acinectobacter baumannii, Gram-negative bacilli, which was only intermediate sensitive to colistin; a polymyxin E. Colistin has attracted more interest recently because of its significant activity against multi-resistant Pseudomonas aeruginosa, A. baumannii and K. pneumoniae, and the low resistance rates to it. The decrease in sensitivity of c...

  6. Gene expression analysis of two extensively drug-resistant tuberculosis isolates show that two-component response systems enhance drug resistance.

    Science.gov (United States)

    Yu, Guohua; Cui, Zhenling; Sun, Xian; Peng, Jinfu; Jiang, Jun; Wu, Wei; Huang, Wenhua; Chu, Kaili; Zhang, Lu; Ge, Baoxue; Li, Yao

    2015-05-01

    Global analysis of expression profiles using DNA microarrays was performed between a reference strain H37Rv and two clinical extensively drug-resistant isolates in response to three anti-tuberculosis drug exposures (isoniazid, capreomycin, and rifampicin). A deep analysis was then conducted using a combination of genome sequences of the resistant isolates, resistance information, and related public microarray data. Certain known resistance-associated gene sets were significantly overrepresented in upregulated genes in the resistant isolates relative to that observed in H37Rv, which suggested a link between resistance and expression levels of particular genes. In addition, isoniazid and capreomycin response genes, but not rifampicin, either obtained from published works or our data, were highly consistent with the differentially expressed genes of resistant isolates compared to those of H37Rv, indicating a strong association between drug resistance of the isolates and genes differentially regulated by isoniazid and capreomycin exposures. Based on these results, 92 genes of the studied isolates were identified as candidate resistance genes, 10 of which are known resistance-related genes. Regulatory network analysis of candidate resistance genes using published networks and literature mining showed that three two-component regulatory systems and regulator CRP play significant roles in the resistance of the isolates by mediating the production of essential envelope components. Finally, drug sensitivity testing indicated strong correlations between expression levels of these regulatory genes and sensitivity to multiple anti-tuberculosis drugs in Mycobacterium tuberculosis. These findings may provide novel insights into the mechanism underlying the emergence and development of drug resistance in resistant tuberculosis isolates and useful clues for further studies on this issue. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... menu Skip to common links HHS U.S. Department of Health and Human Services U.S. Food and Drug Administration ... Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More ...

  8. The Gut as Reservoir of Antibiotic Resistance: Microbial Diversity of Tetracycline Resistance in Mother and Infant

    DEFF Research Database (Denmark)

    de Vries, Lisbeth Elvira; Valles, Yvonne; Agersø, Yvonne

    2011-01-01

    The microbiota in the human gastrointestinal tract (GIT) is highly exposed to antibiotics, and may be an important reservoir of resistant strains and transferable resistance genes. Maternal GIT strains can be transmitted to the offspring, and resistances could be acquired from birth. This is a ca...

  9. Frequency of colistin and fosfomycin resistance in carbapenem-resistant Enterobacteriaceae from a tertiary care hospital in Karachi

    Directory of Open Access Journals (Sweden)

    Qamar S

    2017-07-01

    Full Text Available Salima Qamar, Najma Shaheen, Sadia Shakoor, Joveria Farooqi, Kauser Jabeen, Rumina Hasan Clinical Microbiology, Department of Pathology And Laboratory Medicine, Aga Khan University Hospital, Karachi, Pakistan Introduction: Management of infections with carbapenem-resistant Enterobacteriaceae (CRE is challenging. In recent times, agents such as colistin and fosfomycin have been used in combination with other antibiotics to treat such infections. In this study, we aim to seek frequency of colistin and fosfomycin resistance in CRE from Pakistan.Methods: This study was conducted at clinical laboratories, Aga Khan University Hospital. In total, 251 CRE were included in the study. Colistin minimum inhibitory concentrations (MICs were performed using broth microdilution (BMD method and VITEK® 2 system, whereas fosfomycin susceptibility was performed using Kirby–Bauer method. MIC50 and MIC90 were calculated for colistin and agreement between VITEK and BMD was also calculated.Results: Out of 251 strains colistin MIC of ≥4 µg/mL was seen in 40 (15.9%. Of these strains 20 (50% were Klebsiella pneumoniae. Colistin MIC50 and MIC90 were found to be 0.5 and 16 µg/mL, respectively. BMD and VITEK 2 showed 100% categorical agreement. Essential agreement was 88.5% with kappa score 0.733 indicating strong agreement between VITEK and BMD. 31 out of 251 (12.3% CREs were resistant to fosfomycin.Conclusion: Study shows frequency of colistin and fosfomycin resistance to be 15.9% and 12.3%, respectively. In countries where rate of CREs is high, emerging resistance against these last resort antibiotics is alarming as it leaves clinicians with almost no options to manage such multidrug resistant and extensively drug resistant infections. Keywords: emerging drug resistance, colistin resistance, fosfomycin resistance, carbapenam resistant enterobacteriaceae, salvage antibiotics 

  10. In vitro testing of daptomycin plus rifampin againstmethicillin-resistant Staphylococcus aureus resistant to rifampin

    International Nuclear Information System (INIS)

    Khaswneh, Faisal A.; Ashcraft, Deborah S.; Pankey, George A.

    2008-01-01

    Objective was to test for synergy between daptomycin (DAP) and rifampin(RIF) against RIF-resistant methicillin-resistant Staphylococcus aureus(MRSA) isolates. Synergy testing using time-kill assay (TKA) was performed on6 clinically and genetically unique RIF-resistant MRSA isolates. The isolateswere identified out of 489 (1.2%) samples collected during April 2003 toAugust 2006, from patients at the Ochsner Medical Center in New Orleans,Louisiana, United States of America. Synergy testing of DAP plus RIF by TKAshowed that 5 isolates were different, but one isolate was antagonistic. Ourin-vitro study failed to demonstrate synergy between DAP plus RIF, againstour RIF-resistant MRSA isolates. Clinical failure of this combination shouldprompt the clinician to consider antagonism as one of the potential causes.(author)

  11. Azole-Resistant Invasive Aspergillosis

    DEFF Research Database (Denmark)

    Stensvold, Christen Rune; Jørgensen, Lise Nistrup; Arendrup, Maiken Cavling

    2012-01-01

    with point mutation (s) in CYP51A (TR34/L98H and TR46/Y121F/T289A). In the third a single target enzyme alteration (G432S) is found. These resistant “environmental” strains have been detected in many West-European countries as well as in the Asia- Pacifics. Noticeably, these two continents account...... and classes available is impressive compared to the armamentarium in human medicine, azoles will remain the most important group in agriculture due to superior field performance and significant resistance in fungal pathogens to other compounds. Hence, further spread of environmental resistant Aspergillus...

  12. Radiation-resistant plastic insulators

    International Nuclear Information System (INIS)

    Sturm, B.J.; Parkinson, W.W.

    1975-01-01

    A high molecular weight organic composition useful as an electric insulator in radiation fields is provided and comprises normally a solid polymer of an organic compound having a specific resistance greater than 10 19 ohm-cm and containing phenyl groups and 1 to 7.5 weight percent of a high molecular weight organic phosphite. In one embodiment the composition comprises normally solid polystyrene having 7.5 weight percent tris-β-chloroethyl phosphite as an additive; the composition exhibited an increase in the post-irradiation resistivity of over an order of magnitude over the post-irradiation resistivity of pure polystyrene. (Patent Office Record)

  13. Profiling Prostate Cancer Therapeutic Resistance

    OpenAIRE

    Cameron A. Wade; Natasha Kyprianou

    2018-01-01

    The major challenge in the treatment of patients with advanced lethal prostate cancer is therapeutic resistance to androgen-deprivation therapy (ADT) and chemotherapy. Overriding this resistance requires understanding of the driving mechanisms of the tumor microenvironment, not just the androgen receptor (AR)-signaling cascade, that facilitate therapeutic resistance in order to identify new drug targets. The tumor microenvironment enables key signaling pathways promoting cancer cell survival ...

  14. Resistance to technological progress

    International Nuclear Information System (INIS)

    Isensee, J.

    1983-01-01

    This article deals with the tolerance test the constitutional system (Basic Law) of the Federal Republic of Germany is currently put to as a consequence of the resistance to the power structure of this country, which is expressed in the name of protection and defense for the environment and world peace. This biopacifistic resistance movment, the author says, has nothing to do with the legal right to resist, as laid down in art. 20 (4) of the Basic Law. According to the author, this attitude is an offspring of fear of the hazards of technological progress, primarily of nuclear hazards. Practical resistance, the author states, is preceded by theoretical resistance in speech: De-legitimation of the democratic legality, of the parliamentary functions, of the supreme power of the government, and denial of the citizens duty of obedience. The author raises the question as to whether this attitude of disobedience on ecological grounds marks the onset of a fourth stage of development of the modern state, after we have passed through stages characterised by fear of civil war, of tyranny, and of social privation and suffering. There are no new ideas brought forward by the ecologically minded movement, the author says, for re-shaping our institutions or constitutional system. (HP) [de

  15. Systemic resistance induced by rhizosphere bacteria

    NARCIS (Netherlands)

    Loon, L.C. van; Bakker, P.A.H.M.; Pieterse, C.M.J.

    1998-01-01

    Nonpathogenic rhizobacteria can induce a systemic resistance in plants that is phenotypically similar to pathogen-induced systemic acquired resistance (SAR). Rhizobacteria-mediated induced systemic resistance (ISR) has been demonstrated against fungi, bacteria, and viruses in Arabidopsis, bean,

  16. Happy Festivus! Parody as playful consumer resistance

    DEFF Research Database (Denmark)

    Mikkonen, Ilona; Bajde, Domen

    2013-01-01

    Drawing upon literary theory, play and consumer resistance literature, we conceptualize consumer parodic resistance – a resistant form of play that critically refunctions dominant consumption discourses and marketplace ideologies. We explore parodic resistance empirically by analyzing Festivus, a...

  17. Cancer resistance as an acquired and inheritable trait

    DEFF Research Database (Denmark)

    Koch, Janne; Hau, Jann; Jensen, Henrik Elvang

    2014-01-01

    AIM: To induce cancer resistance in wild-type mice and detect if the resistance could be inherited to the progeny of the induced resistant mice. Furthermore to investigate the spectrum and immunology of this inherited cancer resistance. MATERIALS AND METHODS: Resistance to with live S180 cancer c...... of the resistance is unknown but may involve epigenetic mechanisms. Other examples of inheritability of acquired phenotypic changes exist but, to our knowledge, this is the first demonstration of acquired, inherited cancer resistance.......AIM: To induce cancer resistance in wild-type mice and detect if the resistance could be inherited to the progeny of the induced resistant mice. Furthermore to investigate the spectrum and immunology of this inherited cancer resistance. MATERIALS AND METHODS: Resistance to with live S180 cancer...... cells in BALB/c mice was induced by immunization with inactivated S180 cancer cells. The immunization was performed by either frozen/thawed or irradiated cancer cells or cell-free ascitic fluid (CFAF). RESULTS: In all instances the induced resistance was demonstrated to be inheritable. The phenotype...

  18. Understanding The Resistance to Health Information Systems

    Directory of Open Access Journals (Sweden)

    David Ackah

    2017-07-01

    Full Text Available User resistance is users’ opposition to system implementation. Resistance often occurs as a result of a mismatch between management goals and employee preferences. There are two types of resistance to health iformation system namely active resistance and passive resistance. The manifestation of active resistance are being critical,  blaming/accusing, blocking, fault finding, sabotaging, undermining, ridiculing, intimidating/threatening, starting rumors, appealing to fear, manipulating arguing, using facts selectively, distorting facts and  raising objections. The manifestation of passive resistance are agreeing verbally but not following through, failing to implement change, procrastinating/dragging feet, feigning ignorance, withholding information, suggestions, help or support, and standing by and allowing the change to fail.

  19. Mechanisms of insulin resistance in obesity

    Science.gov (United States)

    Ye, Jianping

    2014-01-01

    Obesity increases the risk for type 2 diabetes through induction of insulin resistance. Treatment of type 2 diabetes has been limited by little translational knowledge of insulin resistance although there have been several well-documented hypotheses for insulin resistance. In those hypotheses, inflammation, mitochondrial dysfunction, hyperinsulinemia and lipotoxicity have been the major concepts and have received a lot of attention. Oxidative stress, endoplasmic reticulum (ER) stress, genetic background, aging, fatty liver, hypoxia and lipodystrophy are active subjects in the study of these concepts. However, none of those concepts or views has led to an effective therapy for type 2 diabetes. The reason is that there has been no consensus for a unifying mechanism of insulin resistance. In this review article, literature is critically analyzed and reinterpreted for a new energy-based concept of insulin resistance, in which insulin resistance is a result of energy surplus in cells. The energy surplus signal is mediated by ATP and sensed by adenosine monophosphate-activated protein kinase (AMPK) signaling pathway. Decreasing ATP level by suppression of production or stimulation of utilization is a promising approach in the treatment of insulin resistance. In support, many of existing insulin sensitizing medicines inhibit ATP production in mitochondria. The effective therapies such as weight loss, exercise, and caloric restriction all reduce ATP in insulin sensitive cells. This new concept provides a unifying cellular and molecular mechanism of insulin resistance in obesity, which may apply to insulin resistance in aging and lipodystrophy. PMID:23471659

  20. Identification of acquired antimicrobial resistance genes

    DEFF Research Database (Denmark)

    Zankari, Ea; Hasman, Henrik; Cosentino, Salvatore

    2012-01-01

    ObjectivesIdentification of antimicrobial resistance genes is important for understanding the underlying mechanisms and the epidemiology of antimicrobial resistance. As the costs of whole-genome sequencing (WGS) continue to decline, it becomes increasingly available in routine diagnostic laborato......ObjectivesIdentification of antimicrobial resistance genes is important for understanding the underlying mechanisms and the epidemiology of antimicrobial resistance. As the costs of whole-genome sequencing (WGS) continue to decline, it becomes increasingly available in routine diagnostic...... laboratories and is anticipated to substitute traditional methods for resistance gene identification. Thus, the current challenge is to extract the relevant information from the large amount of generated data.MethodsWe developed a web-based method, ResFinder that uses BLAST for identification of acquired...... antimicrobial resistance genes in whole-genome data. As input, the method can use both pre-assembled, complete or partial genomes, and short sequence reads from four different sequencing platforms. The method was evaluated on 1862 GenBank files containing 1411 different resistance genes, as well as on 23 de...

  1. Insulin resistance: vascular function and exercise

    Directory of Open Access Journals (Sweden)

    Moon-Hyon Hwang

    2016-09-01

    Full Text Available Insulin resistance associated with metabolic syndrome and Type 2 diabetes mellitus is an epidemic metabolic disorder, which increases the risk of cardiovascular complications. Impaired vascular endothelial function is an early marker for atherosclerosis, which causes cardiovascular complications. Both experimental and clinical studies indicate that endothelial dysfunction in vasculatures occurs with insulin resistance. The associated physiological mechanisms are not fully appreciated yet, however, it seems that augmented oxidative stress, a physiological imbalance between oxidants and antioxidants, in vascular cells is a possible mechanism involved in various vascular beds with insulin resistance and hyperglycemia. Regardless of the inclusion of resistance exercise, aerobic exercise seems to be beneficial for vascular endothelial function in both large conduit and small resistance vessels in both clinical and experimental studies with insulin resistance. In clinical cases, aerobic exercise over 8 weeks with higher intensity seems more beneficial than the cases with shorter duration and lower intensity. However, more studies are needed in the future to elucidate the physiological mechanisms by which vascular endothelial function is impaired in insulin resistance and improved with aerobic exercise.

  2. Mechanisms of Candida biofilm drug resistance

    Science.gov (United States)

    Taff, Heather T; Mitchell, Kaitlin F; Edward, Jessica A; Andes, David R

    2013-01-01

    Candida commonly adheres to implanted medical devices, growing as a resilient biofilm capable of withstanding extraordinarily high antifungal concentrations. As currently available antifungals have minimal activity against biofilms, new drugs to treat these recalcitrant infections are urgently needed. Recent investigations have begun to shed light on the mechanisms behind the profound resistance associated with the biofilm mode of growth. This resistance appears to be multifactorial, involving both mechanisms similar to conventional, planktonic antifungal resistance, such as increased efflux pump activity, as well as mechanisms specific to the biofilm lifestyle. A unique biofilm property is the production of an extracellular matrix. Two components of this material, β-glucan and extracellular DNA, promote biofilm resistance to multiple antifungals. Biofilm formation also engages several stress response pathways that impair the activity of azole drugs. Resistance within a biofilm is often heterogeneous, with the development of a subpopulation of resistant persister cells. In this article we review the molecular mechanisms underlying Candida biofilm antifungal resistance and their relative contributions during various growth phases. PMID:24059922

  3. Cephalosporin resistance in Neisseria gonorrhoeae

    Directory of Open Access Journals (Sweden)

    Manju Bala

    2010-01-01

    Full Text Available Gonorrhea, a disease of public health importance, not only leads to high incidence of acute infections and complications but also plays a major role in facilitating human immunodeficiency virus (HIV acquisition and transmission. One of the major public health needs for gonorrhea control is appropriate, effective treatment. However, treatment options for gonorrhea are diminishing as Neisseria gonorrhoeae have developed resistance to several antimicrobial drugs such as sulfonamides, penicillin, tetracyclines and quinolones. Antimicrobial resistance (AMR surveillance of N. gonorrhoeae helps establish and maintain the efficacy of standard treatment regimens. AMR surveillance should be continuous to reveal the emergence of new resistant strains, monitor the changing patterns of resistance, and be able to update treatment recommendations so as to assist in disease control. Current treatment guidelines recommend the use of single dose injectable or oral cephalosporins. The emergence and spread of cephalosporin resistant and multi drug resistant N. gonorrhoeae strains, represents a worrying trend that requires monitoring and investigation. Routine clinical laboratories need to be vigilant for the detection of such strains such that strategies for control and prevention could be reviewed and revised from time to time. It will be important to elucidate the genetic mechanisms responsible for decreased susceptibility and future resistance. There is also an urgent need for research of safe, alternative anti-gonococcal compounds that can be administered orally and have effective potency, allowing high therapeutic efficacy (greater than 95.0% cure rate.

  4. Resistance to BET Inhibitor Leads to Alternative Therapeutic Vulnerabilities in Castration-Resistant Prostate Cancer.

    Science.gov (United States)

    Pawar, Aishwarya; Gollavilli, Paradesi Naidu; Wang, Shaomeng; Asangani, Irfan A

    2018-02-27

    BRD4 plays a major role in the transcription networks orchestrated by androgen receptor (AR) in castration-resistant prostate cancer (CRPC). Several BET inhibitors (BETi) that displace BRD4 from chromatin are being evaluated in clinical trials for CRPC. Here, we describe mechanisms of acquired resistance to BETi that are amenable to targeted therapies in CRPC. BETi-resistant CRPC cells displayed cross-resistance to a variety of BETi in the absence of gatekeeper mutations, exhibited reduced chromatin-bound BRD4, and were less sensitive to BRD4 degraders/knockdown, suggesting a BRD4-independent transcription program. Transcriptomic analysis revealed reactivation of AR signaling due to CDK9-mediated phosphorylation of AR, resulting in sensitivity to CDK9 inhibitors and enzalutamide. Additionally, increased DNA damage associated with PRC2-mediated transcriptional silencing of DDR genes was observed, leading to PARP inhibitor sensitivity. Collectively, our results identify the therapeutic limitation of BETi as a monotherapy; however, our BETi resistance data suggest unique opportunities for combination therapies in treating CRPC. Copyright © 2018 The Author(s). Published by Elsevier Inc. All rights reserved.

  5. Mutations in the Plasmodium falciparum Cyclic Amine Resistance Locus (PfCARL Confer Multidrug Resistance

    Directory of Open Access Journals (Sweden)

    Gregory LaMonte

    2016-07-01

    Full Text Available Mutations in the Plasmodium falciparum cyclic amine resistance locus (PfCARL are associated with parasite resistance to the imidazolopiperazines, a potent class of novel antimalarial compounds that display both prophylactic and transmission-blocking activity, in addition to activity against blood-stage parasites. Here, we show that pfcarl encodes a protein, with a predicted molecular weight of 153 kDa, that localizes to the cis-Golgi apparatus of the parasite in both asexual and sexual blood stages. Utilizing clustered regularly interspaced short palindromic repeat (CRISPR-mediated gene introduction of 5 variants (L830V, S1076N/I, V1103L, and I1139K, we demonstrate that mutations in pfcarl are sufficient to generate resistance against the imidazolopiperazines in both asexual and sexual blood-stage parasites. We further determined that the mutant PfCARL protein confers resistance to several structurally unrelated compounds. These data suggest that PfCARL modulates the levels of small-molecule inhibitors that affect Golgi-related processes, such as protein sorting or membrane trafficking, and is therefore an important mechanism of resistance in malaria parasites.

  6. Characterisation of non-P-glycoprotein multidrug-resistant Ehrlich ascites tumour cells selected for resistance to mitoxantrone

    DEFF Research Database (Denmark)

    Nielsen, D; Eriksen, J; Maare, C

    2000-01-01

    An Ehrlich ascites tumour cell line (EHR2) was selected in vivo for resistance to mitoxantrone (MITOX). The resistant cell line (EHR2/MITOX) was 6123-, 33-, and 30-fold-resistant to mitoxantrone, daunorubicin, and etoposide, respectively, but retained sensitivity to vincristine. The resistant cel...... to be associated with: 1) a quantitative reduction in topoisomerase IIalpha and beta protein; 2) reduced drug accumulation, probably as a result of increased expression of a novel transport protein with ATPase activity; and 3) increased expression of MRP mRNA....

  7. Analysis of cold resistance and identification of SSR markers linked to cold resistance genes in Brassica rapa L.

    Science.gov (United States)

    Huang, Zhen; Zhang, Xuexian; Jiang, Shouhua; Qin, Mengfan; Zhao, Na; Lang, Lina; Liu, Yaping; Tian, Zhengshu; Liu, Xia; Wang, Yang; Zhang, Binbin; Xu, Aixia

    2017-06-01

    Currently, cold temperatures are one of the main factors threatening rapeseed production worldwide; thus, it is imperative to identify cold-resistant germplasm and to cultivate cold-resistant rapeseed varieties. In this study, the cold resistance of four Brassica rapa varieties was analyzed. The cold resistance of Longyou6 and Longyou7 was better than that of Tianyou2 and Tianyou4. Thus, an F 2 population derived from Longyou6 and Tianyou4 was used to study the correlation of cold resistance and physiological indexes. Our results showed that the degree of frost damage was related to the relative conductivity and MDA content (r1 = 0.558 and r2 = 0.447, respectively). In order to identify the markers related to cold resistance, 504 pairs of SSR (simple sequence repeats) primers were used to screen the two parents and F 2 population. Four and five SSR markers had highly significant positive correlation to relative conductivity and MDA, respectively. In addition, three of these SSR markers had a highly significant positive correlation to both of these two indexes. These three SSR markers were subsequently confirmed to be used to distinguish between cold-resistant and non-cold-resistant varieties. The results of this study will lay a solid foundation for the mapping of cold-resistant genes and molecular markers assisted selection for the cold-resistance.

  8. Ensemble Classifiers for Predicting HIV-1 Resistance from Three Rule-Based Genotypic Resistance Interpretation Systems.

    Science.gov (United States)

    Raposo, Letícia M; Nobre, Flavio F

    2017-08-30

    Resistance to antiretrovirals (ARVs) is a major problem faced by HIV-infected individuals. Different rule-based algorithms were developed to infer HIV-1 susceptibility to antiretrovirals from genotypic data. However, there is discordance between them, resulting in difficulties for clinical decisions about which treatment to use. Here, we developed ensemble classifiers integrating three interpretation algorithms: Agence Nationale de Recherche sur le SIDA (ANRS), Rega, and the genotypic resistance interpretation system from Stanford HIV Drug Resistance Database (HIVdb). Three approaches were applied to develop a classifier with a single resistance profile: stacked generalization, a simple plurality vote scheme and the selection of the interpretation system with the best performance. The strategies were compared with the Friedman's test and the performance of the classifiers was evaluated using the F-measure, sensitivity and specificity values. We found that the three strategies had similar performances for the selected antiretrovirals. For some cases, the stacking technique with naïve Bayes as the learning algorithm showed a statistically superior F-measure. This study demonstrates that ensemble classifiers can be an alternative tool for clinical decision-making since they provide a single resistance profile from the most commonly used resistance interpretation systems.

  9. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Skip to common links HHS U.S. Department of Health and Human Services U.S. Food and Drug Administration A to Z Index Follow FDA En Español Search FDA Submit search ... & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet ...

  10. Stability of ideal and resistive modes in cylindrical plasmas with resistive walls and plasma rotation

    International Nuclear Information System (INIS)

    Bondeson, A.; Xie, H.X.

    1996-01-01

    The stabilization of cylindrical plasmas by resistive walls combined with plasma rotation is analyzed. Perturbations with a single mode rational surface q=m/n in a finitely conducting plasma are treated by the resistive kink dispersion relation of Coppi. The possibilities for stabilization of ideal and resistive instabilities are explored systematically in different regions of parameter space. The study confirms that an ideal instability can be stabilized by a close-fitting wall and a rotation velocity of the order of resistive growth rate. However, the region in parameter space where such stabilization occurs is very small and appears to be difficult to exploit in experiments. The overall conclusion from the cylindrical plasma model is that resistive modes can readily be wall stabilized, whereas complete wall stabilization is hard to achieve for plasmas that are ideally unstable with the wall at infinity. 26 refs, 5 figs

  11. Adiabatic and isothermal resistivities

    International Nuclear Information System (INIS)

    Fishman, R.S.

    1989-01-01

    The force-balance method is used to calculate the isothermal resistivity to first order in the electric field. To lowest order in the impurity potential, the isothermal resistivity disagrees with the adiabatic results of the Kubo formula and the Boltzmann equation. However, an expansion of the isothermal resistivity in powers of the impurity potential is divergent, with two sets of divergent terms. The first set arises from the density matrix of the relative electron-phonon system. The second set arises from the explicit dependence of the density matrix on the electric field, which was ignored by force-balance calculations. These divergent contributions are calculated inductively, by applying a recursion relation for the Green's functions. Using the λ 2 t→∞ limit of van Hove, I show that the resummation of these divergent terms yields the same result for the resistivity as the adiabatic calculations, in direct analogy with the work of Argyres and Sigel, and Huberman and Chester

  12. Genetic resistance in experimental autoimmune encephalomyelitis. I. Analysis of the mechanism of LeR resistance using radiation chimeras

    International Nuclear Information System (INIS)

    Pelfrey, C.M.; Waxman, F.J.; Whitacre, C.C.

    1989-01-01

    Experimental autoimmune encephalomyelitis (EAE) is a cell-mediated autoimmune disease of the central nervous system that has been extensively studied in the rat. The Lewis rat is highly susceptible to the induction of EAE, while the Lewis resistant (LeR) rat is known to be resistant. In this paper, we demonstrate that the LeR rat, which was derived from the Lewis strain by inbreeding of fully resistant animals, is histocompatible with the Lewis strain. Radiation chimeras, a tool for distinguishing between immunologic and nonimmunologic resistance mechanisms, were utilized to analyze the cellular mechanisms involved in genetic resistance to EAE. By transplanting bone marrow cells from LeR rats into irradiated Lewis recipients, Lewis rats were rendered resistant to EAE induction. Likewise, transplanting Lewis bone marrow cells into irradiated LeR recipients rendered LeR rats susceptible. Mixed lymphoid cell chimeras using bone marrow, spleen, and thymus cells in Lewis recipient rats revealed individual lymphoid cell types and cell interactions that significantly affected the incidence and severity of EAE. Our results suggest that LeR resistance is mediated by hematopoietic/immune cells, and that cells located in the spleen appear to play a critical role in the resistance/susceptibility to EAE induction. Depletion of splenic adherent cells did not change the patterns of EAE resistance. In vivo cell mixing studies suggested the presence of a suppressor cell population in the LeR spleen preparations which exerted an inhibitory effect on Lewis autoimmune responses. Thus, the mechanism of LeR resistance appears to be different from that in other EAE-resistant animals

  13. Insulin Resistance and Mitochondrial Dysfunction.

    Science.gov (United States)

    Gonzalez-Franquesa, Alba; Patti, Mary-Elizabeth

    2017-01-01

    Insulin resistance precedes and predicts the onset of type 2 diabetes (T2D) in susceptible humans, underscoring its important role in the complex pathogenesis of this disease. Insulin resistance contributes to multiple tissue defects characteristic of T2D, including reduced insulin-stimulated glucose uptake in insulin-sensitive tissues, increased hepatic glucose production, increased lipolysis in adipose tissue, and altered insulin secretion. Studies of individuals with insulin resistance, both with established T2D and high-risk individuals, have consistently demonstrated a diverse array of defects in mitochondrial function (i.e., bioenergetics, biogenesis and dynamics). However, it remains uncertain whether mitochondrial dysfunction is primary (critical initiating defect) or secondary to the subtle derangements in glucose metabolism, insulin resistance, and defective insulin secretion present early in the course of disease development. In this chapter, we will present the evidence linking mitochondrial dysfunction and insulin resistance, and review the potential for mitochondrial targets as a therapeutic approach for T2D.

  14. The evolutionary benefit of insulin resistance

    NARCIS (Netherlands)

    Soeters, Maarten R.; Soeters, Peter B.

    2012-01-01

    Insulin resistance is perceived as deleterious, associated with conditions as the metabolic syndrome, type 2 diabetes mellitus and critical illness. However, insulin resistance is evolutionarily well preserved and its persistence suggests that it benefits survival. Insulin resistance is important in

  15. Resistance of stored-product insects to phosphine

    International Nuclear Information System (INIS)

    Pimentel, Marco Aurelio Guerra; Faroni, Leda Rita D'Antonino; Batista, Maurilio Duarte; Silva, Felipe Humberto da

    2008-01-01

    The objectives of this work were to assess phosphine resistance in insect populations (Tribolium castaneum, Rhyzopertha dominica, Sitophilus zeamais and Oryzaephilus surinamensis) from different regions of Brazil and to verify if the prevailing mechanism of phosphine resistance in these populations involves reduced respiration rates. Sixteen populations of T. castaneum, 15 of R. dominica, 27 of S. zeamais and eight of O. surinamensis were collected from 36 locations over seven Brazilian states. Each population was tested for resistance to phosphine, based on the response of adults to discriminating concentrations, according to FAO standard method. For each insect species, the production of carbon dioxide of the most resistant and of the most susceptible populations was inversely related to their phosphine resistance. The screening tests identified possible phosphine resistant populations. R. dominica and O. surinamensis were less susceptible to phosphine than the other two species. The populations with lower respiration rate showed a lower mortality at discriminating concentration, possibly related to a phosphine resistance mechanism. Phosphine resistance occurs in stored-product insects, in different regions of Brazil, and the resistance mechanism involves reduced respiration rate. (author)

  16. Axes of resistance for tooth movement: does the center of resistance exist in 3-dimensional space?

    Science.gov (United States)

    Viecilli, Rodrigo F; Budiman, Amanda; Burstone, Charles J

    2013-02-01

    The center of resistance is considered the most important reference point for tooth movement. It is often stated that forces through this point will result in tooth translation. The purpose of this article is to report the results of numeric experiments testing the hypothesis that centers of resistance do not exist in space as 3-dimensional points, primarily because of the geometric asymmetry of the periodontal ligament. As an alternative theory, we propose that, for an arbitrary tooth, translation references can be determined by 2-dimensional projection intersections of 3-dimensional axes of resistance. Finite element analyses were conducted on a maxillary first molar model to determine the position of the axes of rotation generated by 3-dimensional couples. Translation tests were performed to compare tooth movement by using different combinations of axes of resistance as references. The couple-generated axes of rotation did not intersect in 3 dimensions; therefore, they do not determine a 3-dimensional center of resistance. Translation was obtained by using projection intersections of the 2 axes of resistance perpendicular to the force direction. Three-dimensional axes of resistance, or their 2-dimensional projection intersections, should be used to plan movement of an arbitrary tooth. Clinical approximations to a small 3-dimensional "center of resistance volume" might be adequate in nearly symmetric periodontal ligament cases. Copyright © 2013 American Association of Orthodontists. Published by Mosby, Inc. All rights reserved.

  17. Prevalence of Methicillin-Resistant Staphylococcus aureus among ...

    African Journals Online (AJOL)

    Purpose: To determine the prevalence of methicillin-resistant Staphylococcus aureus (MRSA) in apparently healthy ... treatment failures is vital. Keywords: Methicillin-resistant Staphylococcus aureus, Nasal swabs, Multidrug resistance, Rational .... defined as resistance to three or more classes of antibiotics other than the ...

  18. Cry1F resistance among lepidopteran pests: a model for improved resistance management?

    Science.gov (United States)

    Vélez, Ana M; Vellichirammal, Neetha Nanoth; Jurat-Fuentes, Juan Luis; Siegfried, Blair D

    2016-06-01

    The Cry1Fa protein from the bacterium Bacillus thuringiensis (Bt) is known for its potential to control lepidopteran pests, especially through transgenic expression in maize and cotton. The maize event TC1507 expressing the cry1Fa toxin gene became commercially available in the United States in 2003 for the management of key lepidopteran pests including the European corn borer, Ostrinia nubilalis, and the fall armyworm, Spodoptera frugiperda. A high-dose/refuge strategy has been widely adopted to delay evolution of resistance to event TC1507 and other transgenic Bt crops. Efficacy of this strategy depends on the crops expressing a high dose of the Bt toxin to targeted pests and adjacent refuges of non-Bt host plants serving as a source of abundant susceptible insects. While this strategy has proved effective in delaying O. nubilalis resistance, field-evolved resistance to event TC1507 has been reported in S. frugiperda populations in Puerto Rico, Brazil, and the southeastern United States. This paper examines available information on resistance to Cry1Fa in O. nubilalis and S. frugiperda and discusses how this information identifies opportunities to refine resistance management recommendations for Bt maize. Copyright © 2016 Elsevier Inc. All rights reserved.

  19. Influence of HMB supplementation and resistance training on cytokine responses to resistance exercise.

    Science.gov (United States)

    Kraemer, William J; Hatfield, Disa L; Comstock, Brett A; Fragala, Maren S; Davitt, Patrick M; Cortis, Cristina; Wilson, Jacob M; Lee, Elaine C; Newton, Robert U; Dunn-Lewis, Courtenay; Häkkinen, Keijo; Szivak, Tunde K; Hooper, David R; Flanagan, Shawn D; Looney, David P; White, Mark T; Volek, Jeff S; Maresh, Carl M

    2014-01-01

    The purpose of this study was to determine the effects of a multinutritional supplement including amino acids, β-hydroxy-β-methylbutyrate (HMB), and carbohydrates on cytokine responses to resistance exercise and training. Seventeen healthy, college-aged men were randomly assigned to a Muscle Armor™ (MA; Abbott Nutrition, Columbus, OH) or placebo supplement group and 12 weeks of resistance training. An acute resistance exercise protocol was administered at 0, 6, and 12 weeks of training. Venous blood samples at pre-, immediately post-, and 30-minutes postexercise were analyzed via bead multiplex immunoassay for 17 cytokines. After 12 weeks of training, the MA group exhibited decreased interferon-gamma (IFN-γ) and interleukin (IL)-10. IL-1β differed by group at various times. Granulocyte colony-stimulating factor (G-CSF), granulocyte-macrophage colony-stimulating factor (GM-CSF), IL-6, IL-7, IL-8, IL-12p70, IL-13, IL-17, monocyte chemoattractant protein-1 (MCP-1), and macrophage inflammatory protein-1 beta (MIP-1β) changed over the 12-week training period but did not differ by group. Twelve weeks of resistance training alters the cytokine response to acute resistance exercise, and supplementation with HMB and amino acids appears to further augment this result.

  20. Arterial Stiffness and Autonomic Modulation After Free-Weight Resistance Exercises in Resistance Trained Individuals.

    Science.gov (United States)

    Kingsley, J Derek; Mayo, Xián; Tai, Yu Lun; Fennell, Curtis

    2016-12-01

    Kingsley, JD, Mayo, X, Tai, YL, and Fennell, C. Arterial stiffness and autonomic modulation after free-weight resistance exercises in resistance trained individuals. J Strength Cond Res 30(12): 3373-3380, 2016-We investigated the effects of an acute bout of free-weight, whole-body resistance exercise consisting of the squat, bench press, and deadlift on arterial stiffness and cardiac autonomic modulation in 16 (aged 23 ± 3 years; mean ± SD) resistance-trained individuals. Arterial stiffness, autonomic modulation, and baroreflex sensitivity (BRS) were assessed at rest and after 3 sets of 10 repetitions at 75% 1-repetition maximum on each exercise with 2 minutes of rest between sets and exercises. Arterial stiffness was analyzed using carotid-femoral pulse wave velocity (cf-PWV). Linear heart rate variability (log transformed [ln] absolute and normalized units [nu] of low-frequency [LF] and high-frequency [HF] power) and nonlinear heart rate complexity (Sample Entropy [SampEn], Lempel-Ziv Entropy [LZEn]) were measured to determine autonomic modulation. BRS was measured by the sequence method. A 2 × 2 repeated measures analysis of variance (ANOVA) was used to analyze time (rest, recovery) across condition (acute resistance exercise, control). There were significant increases in cf-PWV (p = 0.05), heart rate (p = 0.0001), normalized LF (LFnu; p = 0.001), and the LF/HF ratio (p = 0.0001). Interactions were also noted for ln HF (p = 0.006), HFnu (p = 0.0001), SampEn (p = 0.001), LZEn (p = 0.005), and BRS (p = 0.0001) such that they significantly decreased during recovery from the resistance exercise compared with rest and the control. There was no effect on ln total power, or ln LF. These data suggest that a bout of resistance exercise using free-weights increases arterial stiffness and reduces vagal activity and BRS in comparison with a control session. Vagal tone may not be fully recovered up to 30 minutes after a resistance exercise bout.

  1. Parallel screening of wild-type and drug-resistant targets for anti-resistance neuraminidase inhibitors.

    Directory of Open Access Journals (Sweden)

    Kai-Cheng Hsu

    Full Text Available Infection with influenza virus is a major public health problem, causing serious illness and death each year. Emergence of drug-resistant influenza virus strains limits the effectiveness of drug treatment. Importantly, a dual H275Y/I223R mutation detected in the pandemic influenza A 2009 virus strain results in multidrug resistance to current neuraminidase (NA drugs. Therefore, discovery of new agents for treating multiple drug-resistant (MDR influenza virus infections is important. Here, we propose a parallel screening strategy that simultaneously screens wild-type (WT and MDR NAs, and identifies inhibitors matching the subsite characteristics of both NA-binding sites. These may maintain their potency when drug-resistant mutations arise. Initially, we analyzed the subsite of the dual H275Y/I223R NA mutant. Analysis of the site-moiety maps of NA protein structures show that the mutant subsite has a relatively small volume and is highly polar compared with the WT subsite. Moreover, the mutant subsite has a high preference for forming hydrogen-bonding interactions with polar moieties. These changes may drive multidrug resistance. Using this strategy, we identified a new inhibitor, Remazol Brilliant Blue R (RB19, an anthraquinone dye, which inhibited WT NA and MDR NA with IC(50 values of 3.4 and 4.5 µM, respectively. RB19 comprises a rigid core scaffold and a flexible chain with a large polar moiety. The former interacts with highly conserved residues, decreasing the probability of resistance. The latter forms van der Waals contacts with the WT subsite and yields hydrogen bonds with the mutant subsite by switching the orientation of its flexible side chain. Both scaffolds of RB19 are good starting points for lead optimization. The results reveal a parallel screening strategy for identifying resistance mechanisms and discovering anti-resistance neuraminidase inhibitors. We believe that this strategy may be applied to other diseases with high

  2. Phosphine resistance does not confer cross-resistance to sulfuryl fluoride in four major stored grain insect pests.

    Science.gov (United States)

    Jagadeesan, Rajeswaran; Nayak, Manoj K

    2017-07-01

    Susceptibility to phosphine (PH 3 ) and sulfuryl fluoride (SF) and cross-resistance to SF were evaluated in two life stages (eggs and adults) of key grain insect pests, Rhyzopertha dominca (F.), Sitophilus oryzae (L.), Cryptolestes ferrugineus (Stephens), and Tribolium castaneum (Herbst). This study was performed with an aim to integrate SF into phosphine resistance management programmes in Australia. Characterisation of susceptibility and resistance to phosphine in eggs and adults showed that C. ferrugineus was the most tolerant as well as resistant species. Mortality responses of eggs and adults to SF at 25 °C revealed T. castaneum to be the most tolerant species followed by S. oryzae, C. ferrugineus and R. dominica. A high dose range of SF, 50.8-62.2 mg L -1 over 48 h, representing c (concentration) × t (time) products of 2438-2985 gh m -3 , was required for complete control of eggs of T. castaneum, whereas eggs of the least tolerant R. dominca required only 630 gh m -3 for 48 h (13.13 mg L -1 ). Mortality response of eggs and adults of phosphine-resistant strains to SF in all four species confirmed the lack of cross-resistance to SF. Our research concludes that phosphine resistance does not confer cross-resistance to SF in grain insect pests irrespective of the variation in levels of tolerance to SF itself or resistance to phosphine in their egg and adult stages. While our study confirms that SF has potential as a 'phosphine resistance breaker', the observed higher tolerance in eggs stresses the importance of developing SF fumigation protocols with longer exposure periods. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  3. Non-p-glycoprotein-mediated multidrug resistance in detransformed rat cells selected for resistance to methylglyoxal bis(guanylhydrazone).

    Science.gov (United States)

    Weber, J M; Sircar, S; Horvath, J; Dion, P

    1989-11-01

    Three independent variants (G2, G4, G5), resistant to methylglyoxal bis(guanylhydrazone), an anticancer drug, have been isolated by single step selection from an adenovirus-transformed rat brain cell line (1). These variants display selective cross-resistance to several natural product drugs of dissimilar structure and action. Multidrug resistance has recently been shown to be caused by overexpression of the membrane-associated p-glycoprotein, most often caused by amplification of the mdr gene. Several types of experiments were conducted to determine whether the observed drug resistance in our cell lines could be due to changes at the mdr locus. The following results were obtained: (a) the mdr locus was not amplified; (b) transcription of the mdr gene and p-glycoprotein synthesis were not increased; (c) multidrug resistance cell lines, which carry an amplified mdr locus, were not cross-resistant to methylglyoxal bis(guanylhydrazone); (d) verapamil did not reverse the resistance of G cells or mdr cells to methylglyoxal bis(guanylhydrazone), nor that of G cells to vincristine; and (e) methylglyoxal bis(guanylhydrazone) resistance was recessive and depended on a block to drug uptake, as opposed to mdr cells which are dominant and express increased drug efflux. The results obtained suggest that the drug resistance in the G2, G4, and G5 cells was atypical and may be due to a mechanism distinct from that mediated by the mdr locus.

  4. Novel Aminoglycoside Resistance Transposons and Transposon-Derived Circular Forms Detected in Carbapenem-Resistant Acinetobacter baumannii Clinical Isolates

    Science.gov (United States)

    Dwibedi, Chinmay Kumar; Sjöström, Karin; Edquist, Petra; Wai, Sun Nyunt; Uhlin, Bernt Eric

    2016-01-01

    Acinetobacter baumannii has emerged as an important opportunistic pathogen equipped with a growing number of antibiotic resistance genes. Our study investigated the molecular epidemiology and antibiotic resistance features of 28 consecutive carbapenem-resistant clinical isolates of A. baumannii collected throughout Sweden in 2012 and 2013. The isolates mainly belonged to clonal complexes (CCs) with an extensive international distribution, such as CC2 (n = 16) and CC25 (n = 7). Resistance to carbapenems was related to blaOXA-23 (20 isolates), blaOXA-24/40-like (6 isolates), blaOXA-467 (1 isolate), and ISAba1-blaOXA-69 (1 isolate). Ceftazidime resistance was associated with blaPER-7 in the CC25 isolates. Two classical point mutations were responsible for resistance to quinolones in all the isolates. Isolates with high levels of resistance to aminoglycosides carried the 16S rRNA methylase armA gene. The isolates also carried a variety of genes encoding aminoglycoside-modifying enzymes. Several novel structures involved in aminoglycoside resistance were identified, including Tn6279, ΔTn6279, Ab-ST3-aadB, and different assemblies of Tn6020 and TnaphA6. Importantly, a number of circular forms related to the IS26 or ISAba125 composite transposons were detected. The frequent occurrence of these circular forms in the populations of several isolates indicates a potential role of these circular forms in the dissemination of antibiotic resistance genes. PMID:26824943

  5. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... More in Antimicrobial Resistance National Antimicrobial Resistance Monitoring System About NARMS 2015 NARMS Integrated Report Data Meetings ... Deutsch | 日本語 | فارسی | English FDA Accessibility Careers FDA Basics FOIA No FEAR ...

  6. Adipokines and Hepatic Insulin Resistance

    Science.gov (United States)

    Hassan, Waseem

    2013-01-01

    Obesity is a major risk factor for insulin resistance and type 2 diabetes. Adipose tissue is now considered to be an active endocrine organ that secretes various adipokines such as adiponectin, leptin, resistin, tumour necrosis factor-α, and interleukin-6. Recent studies have shown that these factors might provide a molecular link between increased adiposity and impaired insulin sensitivity. Since hepatic insulin resistance plays the key role in the whole body insulin resistance, clarification of the regulatory processes about hepatic insulin resistance by adipokines in rodents and human would seem essential in order to understand the mechanism of type 2 diabetes and for developing novel therapeutic strategies to treat it. PMID:23762871

  7. The Effect of Tensile Hysteresis and Contact Resistance on the Performance of Strain-Resistant Elastic-Conductive Webbing

    Directory of Open Access Journals (Sweden)

    Tien-Wei Shyr

    2011-01-01

    Full Text Available To use e-textiles as a strain-resistance sensor they need to be both elastic and conductive. Three kinds of elastic-conductive webbings, including flat, tubular, and belt webbings, made of Lycra fiber and carbon coated polyamide fiber, were used in this study. The strain-resistance properties of the webbings were evaluated in stretch-recovery tests and measured within 30% strain. It was found that tensile hysteresis and contact resistance significantly influence the tensile elasticity and the resistance sensitivity of the webbings. The results showed that the webbing structure definitely contributes to the tensile hysteresis and contact resistance. The smaller the friction is among the yarns in the belt webbing, the smaller the tensile hysteresis loss. However the close proximity of the conductive yarns in flat and tubular webbings results in a lower contact resistance.

  8. Embodied resistance to persuasion in advertising

    DEFF Research Database (Denmark)

    Lewinski, Peter; Fransen, Marieke L.; Tan, Ed

    2016-01-01

    expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework......From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily...... in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people...

  9. Limited fitness costs of herbicide-resistance traits in Amaranthus tuberculatus facilitate resistance evolution

    Science.gov (United States)

    The fitness cost of herbicide resistance (HR) in the absence of herbicide selection plays a key role in HR evolution. Quantifying the fitness cost of resistance, however, is challenging, and there exists a knowledge gap in this area. A synthetic Amaranthus tuberculatus population segregating for fiv...

  10. The determinants of the antibiotic resistance process

    Directory of Open Access Journals (Sweden)

    Beatriz Espinosa Franco

    2009-04-01

    Full Text Available Beatriz Espinosa Franco1, Marina Altagracia Martínez2, Martha A Sánchez Rodríguez1, Albert I Wertheimer31Facultad de Estudios Superiores Zaragoza (UNAM, Mexico; 2Universidad Autónoma Metropolitana Unidad Xochimilco, Mexico; 3Temple University, Philadelphia, Pennsylvania, USABackground: The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community.Objectives: To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem.Methods: We conducted a MedLine search using the key words “determinants”, “antibiotic”, and “antibiotic resistance” to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded.Results: The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance.Conclusions: Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals.Keywords: antibiotic drug resistance

  11. Reducing Psychological Resistance to Digital Repositories

    Directory of Open Access Journals (Sweden)

    Brian Quinn

    2010-06-01

    Full Text Available The potential value of digital repositories is dependent on the cooperation of scholars to deposit their work. Although many researchers have been resistant to submitting their work, the literature on digital repositories contains very little research on the psychology of resistance. This article looks at the psychological literature on resistance and explores what its implications might be for reducing the resistance of scholars to submitting their work to digital repositories. Psychologists have devised many potentially useful strategies for reducing resistance that might be used to address the problem; this article examines these strategies and how they might be applied.

  12. Zinc and copper in animal feed – development of resistance and co-resistance to antimicrobial agents in bacteria of animal origin

    Directory of Open Access Journals (Sweden)

    Siamak Yazdankhah

    2014-09-01

    Full Text Available Farmed animals such as pig and poultry receive additional Zn and Cu in their diets due to supplementing elements in compound feed as well as medical remedies. Enteral bacteria in farmed animals are shown to develop resistance to trace elements such as Zn and Cu. Resistance to Zn is often linked with resistance to methicillin in staphylococci, and Zn supplementation to animal feed may increase the proportion of multiresistant E. coli in the gut. Resistance to Cu in bacteria, in particular enterococci, is often associated with resistance to antimicrobial drugs like macrolides and glycopeptides (e.g. vancomycin. Such resistant bacteria may be transferred from the food-producing animals to humans (farmers, veterinarians, and consumers. Data on dose-response relation for Zn/Cu exposure and resistance are lacking; however, it seems more likely that a resistance-driven effect occurs at high trace element exposure than at more basal exposure levels. There is also lack of data which could demonstrate whether Zn/Cu-resistant bacteria may acquire antibiotic resistance genes/become antibiotics resistant, or if antibiotics-resistant bacteria are more capable to become Zn/Cu resistant than antibiotics-susceptible bacteria. Further research is needed to elucidate the link between Zn/Cu and antibiotic resistance in bacteria.

  13. Embodied resistance to persuasion in advertising

    NARCIS (Netherlands)

    Lewinski, P.; Fransen, M.L.; Tan, E.S.

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily

  14. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    OpenAIRE

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high lev...

  15. Sequence Exchange between Homologous NB-LRR Genes Converts Virus Resistance into Nematode Resistance, and Vice Versa.

    Science.gov (United States)

    Slootweg, Erik; Koropacka, Kamila; Roosien, Jan; Dees, Robert; Overmars, Hein; Lankhorst, Rene Klein; van Schaik, Casper; Pomp, Rikus; Bouwman, Liesbeth; Helder, Johannes; Schots, Arjen; Bakker, Jaap; Smant, Geert; Goverse, Aska

    2017-09-01

    Plants have evolved a limited repertoire of NB-LRR disease resistance ( R ) genes to protect themselves against myriad pathogens. This limitation is thought to be counterbalanced by the rapid evolution of NB-LRR proteins, as only a few sequence changes have been shown to be sufficient to alter resistance specificities toward novel strains of a pathogen. However, little is known about the flexibility of NB-LRR R genes to switch resistance specificities between phylogenetically unrelated pathogens. To investigate this, we created domain swaps between the close homologs Gpa2 and Rx1 , which confer resistance in potato ( Solanum tuberosum ) to the cyst nematode Globodera pallida and Potato virus X , respectively. The genetic fusion of the CC-NB-ARC of Gpa2 with the LRR of Rx1 (Gpa2 CN /Rx1 L ) results in autoactivity, but lowering the protein levels restored its specific activation response, including extreme resistance to Potato virus X in potato shoots. The reciprocal chimera (Rx1 CN /Gpa2 L ) shows a loss-of-function phenotype, but exchange of the first three LRRs of Gpa2 by the corresponding region of Rx1 was sufficient to regain a wild-type resistance response to G. pallida in the roots. These data demonstrate that exchanging the recognition moiety in the LRR is sufficient to convert extreme virus resistance in the leaves into mild nematode resistance in the roots, and vice versa. In addition, we show that the CC-NB-ARC can operate independently of the recognition specificities defined by the LRR domain, either aboveground or belowground. These data show the versatility of NB-LRR genes to generate resistance to unrelated pathogens with completely different lifestyles and routes of invasion. © 2017 American Society of Plant Biologists. All Rights Reserved.

  16. Identification and characterization of two novel bla(KLUC resistance genes through large-scale resistance plasmids sequencing.

    Directory of Open Access Journals (Sweden)

    Teng Xu

    Full Text Available Plasmids are important antibiotic resistance determinant carriers that can disseminate various drug resistance genes among species or genera. By using a high throughput sequencing approach, two groups of plasmids of Escherichia coli (named E1 and E2, each consisting of 160 clinical E. coli strains isolated from different periods of time were sequenced and analyzed. A total of 20 million reads were obtained and mapped onto the known resistance gene sequences. As a result, a total of 9 classes, including 36 types of antibiotic resistant genes, were identified. Among these genes, 25 and 27 single nucleotide polymorphisms (SNPs appeared, of which 9 and 12 SNPs are nonsynonymous substitutions in the E1 and E2 samples. It is interesting to find that a novel genotype of bla(KLUC, whose close relatives, bla(KLUC-1 and bla(KLUC-2, have been previously reported as carried on the Kluyvera cryocrescens chromosome and Enterobacter cloacae plasmid, was identified. It shares 99% and 98% amino acid identities with Kluc-1 and Kluc-2, respectively. Further PCR screening of 608 Enterobacteriaceae family isolates yielded a second variant (named bla(KLUC-4. It was interesting to find that Kluc-3 showed resistance to several cephalosporins including cefotaxime, whereas bla(KLUC-4 did not show any resistance to the antibiotics tested. This may be due to a positively charged residue, Arg, replaced by a neutral residue, Leu, at position 167, which is located within an omega-loop. This work represents large-scale studies on resistance gene distribution, diversification and genetic variation in pooled multi-drug resistance plasmids, and provides insight into the use of high throughput sequencing technology for microbial resistance gene detection.

  17. The Lr34 adult plant rust resistance gene provides seedling resistance in durum wheat without senescence.

    Science.gov (United States)

    Rinaldo, Amy; Gilbert, Brian; Boni, Rainer; Krattinger, Simon G; Singh, Davinder; Park, Robert F; Lagudah, Evans; Ayliffe, Michael

    2017-07-01

    The hexaploid wheat (Triticum aestivum) adult plant resistance gene, Lr34/Yr18/Sr57/Pm38/Ltn1, provides broad-spectrum resistance to wheat leaf rust (Lr34), stripe rust (Yr18), stem rust (Sr57) and powdery mildew (Pm38) pathogens, and has remained effective in wheat crops for many decades. The partial resistance provided by this gene is only apparent in adult plants and not effective in field-grown seedlings. Lr34 also causes leaf tip necrosis (Ltn1) in mature adult plant leaves when grown under field conditions. This D genome-encoded bread wheat gene was transferred to tetraploid durum wheat (T. turgidum) cultivar Stewart by transformation. Transgenic durum lines were produced with elevated gene expression levels when compared with the endogenous hexaploid gene. Unlike nontransgenic hexaploid and durum control lines, these transgenic plants showed robust seedling resistance to pathogens causing wheat leaf rust, stripe rust and powdery mildew disease. The effectiveness of seedling resistance against each pathogen correlated with the level of transgene expression. No evidence of accelerated leaf necrosis or up-regulation of senescence gene markers was apparent in these seedlings, suggesting senescence is not required for Lr34 resistance, although leaf tip necrosis occurred in mature plant flag leaves. Several abiotic stress-response genes were up-regulated in these seedlings in the absence of rust infection as previously observed in adult plant flag leaves of hexaploid wheat. Increasing day length significantly increased Lr34 seedling resistance. These data demonstrate that expression of a highly durable, broad-spectrum adult plant resistance gene can be modified to provide seedling resistance in durum wheat. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  18. Exosomes from adriamycin-resistant breast cancer cells transmit drug resistance partly by delivering miR-222.

    Science.gov (United States)

    Yu, Dan-Dan; Wu, Ying; Zhang, Xiao-Hui; Lv, Meng-Meng; Chen, Wei-Xian; Chen, Xiu; Yang, Su-Jin; Shen, Hongyu; Zhong, Shan-Liang; Tang, Jin-Hai; Zhao, Jian-Hua

    2016-03-01

    Breast cancer (BCa) is one of the major deadly cancers in women. However, treatment of BCa is still hindered by the acquired-drug resistance. It is increasingly reported that exosomes take part in the development, metastasis, and drug resistance of BCa. However, the specific role of exosomes in drug resistance of BCa is poorly understood. In this study, we investigate whether exosomes transmit drug resistance through delivering miR-222. We established an adriamycin-resistant variant of Michigan Cancer Foundation-7 (MCF-7) breast cancer cell line (MCF-7/Adr) from a drug-sensitive variant (MCF-7/S). Exosomes were isolated from cell supernatant by ultracentrifugation. Cell viability was assessed by MTT assay and apoptosis assay. Individual miR-222 molecules in BCa cells were detected by fluorescence in situ hybridization (FISH). Then, FISH was combined with locked nucleic acid probes and enzyme-labeled fluorescence (LNA-ELF-FISH). Individual miR-222 could be detected as bright photostable fluorescent spots and then the quantity of miR-222 per cell could be counted. Stained exosomes were taken in by the receipt cells. MCF-7/S acquired drug resistance after co-culture with exosomes from MCF-7/Adr (A/exo) but did not after co-culture with exosomes from MCF-7/S (S/exo). The quantity of miR-222 in A/exo-treated MCF-7/S was significantly greater than in S/exo-treated MCF-7/S. MCF-7/S transfected with miR-222 mimics acquired adriamycin resistance while MCF-7/S transfected with miR-222 inhibitors lost resistance. In conclusion, exosomes are effective in transmitting drug resistance and the delivery of miR-222 via exosomes may be a mechanism.

  19. Pyramiding, alternating or mixing: comparative performances of deployment strategies of nematode resistance genes to promote plant resistance efficiency and durability.

    Science.gov (United States)

    Djian-Caporalino, Caroline; Palloix, Alain; Fazari, Ariane; Marteu, Nathalie; Barbary, Arnaud; Abad, Pierre; Sage-Palloix, Anne-Marie; Mateille, Thierry; Risso, Sabine; Lanza, Roger; Taussig, Catherine; Castagnone-Sereno, Philippe

    2014-02-22

    Resistant cultivars are key elements for pathogen control and pesticide reduction, but their repeated use may lead to the emergence of virulent pathogen populations, able to overcome the resistance. Increased research efforts, mainly based on theoretical studies, explore spatio-temporal deployment strategies of resistance genes in order to maximize their durability. We evaluated experimentally three of these strategies to control root-knot nematodes: cultivar mixtures, alternating and pyramiding resistance genes, under controlled and field conditions over a 3-years period, assessing the efficiency and the durability of resistance in a protected crop rotation system with pepper as summer crop and lettuce as winter crop. The choice of the resistance gene and the genetic background in which it is introgressed, affected the frequency of resistance breakdown. The pyramiding of two different resistance genes in one genotype suppressed the emergence of virulent isolates. Alternating different resistance genes in rotation was also efficient to decrease virulent populations in fields due to the specificity of the virulence and the trapping effect of resistant plants. Mixing resistant cultivars together appeared as a less efficient strategy to control nematodes. This work provides experimental evidence that, in a cropping system with seasonal sequences of vegetable species, pyramiding or alternating resistance genes benefit yields in the long-term by increasing the durability of resistant cultivars and improving the long-term control of a soil-borne pest. To our knowledge, this result is the first one obtained for a plant-nematode interaction, which helps demonstrate the general applicability of such strategies for breeding and sustainable management of resistant cultivars against pathogens.

  20. Expression of multidrug resistance proteins in retinoblastoma

    Directory of Open Access Journals (Sweden)

    Swati Shukla

    2017-11-01

    Full Text Available AIM: To elucidate the mechanism of multidrug resistance in retinoblastoma, and to acquire more insights into in vivo drug resistance. METHODS: Three anticancer drug resistant Y79 human RB cells were generated against vincristine, etoposide or carboplatin, which are used for conventional chemotherapy in RB. Primary cultures from enucleated eyes after chemotherapy (PCNC were also prepared. Their chemosensitivity to chemotherapeutic agents (vincristine, etoposide and carboplatin were measured using MTT assay. Western blot analysis was performed to evaluate the expression of p53, Bcl-2 and various multidrug resistant proteins in retinoblastoma cells. RESULTS: Following exposure to chemotherapeutic drugs, PCNC showed less sensitivity to drugs. No significant changes observed in the p53 expression, whereas Bcl-2 expression was found to be increased in the drug resistant cells as well as in PCNC. Increased expression of P-glycoprotein (P-gp was observed in drug resistant Y79 cells; however there was no significant change in the expression of P-gp found between primary cultures of primarily enucleated eyes and PCNC. Multidrug resistance protein 1 (Mrp-1 expression was found to be elevated in the drug resistant Y79 cells as well as in PCNC. No significant change in the expression of lung resistance associated protein (Lrp was observed in the drug resistant Y79 cells as well as in PCNC. CONCLUSION: Our results suggest that multidrug resistant proteins are intrinsically present in retinoblastoma which causes treatment failure in managing retinoblastoma with chemotherapy.

  1. Expression of multidrug resistance proteins in retinoblastoma.

    Science.gov (United States)

    Shukla, Swati; Srivastava, Arpna; Kumar, Sunil; Singh, Usha; Goswami, Sandeep; Chawla, Bhavna; Bajaj, Mandeep Singh; Kashyap, Seema; Kaur, Jasbir

    2017-01-01

    To elucidate the mechanism of multidrug resistance in retinoblastoma, and to acquire more insights into in vivo drug resistance. Three anticancer drug resistant Y79 human RB cells were generated against vincristine, etoposide or carboplatin, which are used for conventional chemotherapy in RB. Primary cultures from enucleated eyes after chemotherapy (PCNC) were also prepared. Their chemosensitivity to chemotherapeutic agents (vincristine, etoposide and carboplatin) were measured using MTT assay. Western blot analysis was performed to evaluate the expression of p53, Bcl-2 and various multidrug resistant proteins in retinoblastoma cells. Following exposure to chemotherapeutic drugs, PCNC showed less sensitivity to drugs. No significant changes observed in the p53 expression, whereas Bcl-2 expression was found to be increased in the drug resistant cells as well as in PCNC. Increased expression of P-glycoprotein (P-gp) was observed in drug resistant Y79 cells; however there was no significant change in the expression of P-gp found between primary cultures of primarily enucleated eyes and PCNC. Multidrug resistance protein 1 (Mrp-1) expression was found to be elevated in the drug resistant Y79 cells as well as in PCNC. No significant change in the expression of lung resistance associated protein (Lrp) was observed in the drug resistant Y79 cells as well as in PCNC. Our results suggest that multidrug resistant proteins are intrinsically present in retinoblastoma which causes treatment failure in managing retinoblastoma with chemotherapy.

  2. Expression of multidrug resistance proteins in retinoblastoma

    Science.gov (United States)

    Shukla, Swati; Srivastava, Arpna; Kumar, Sunil; Singh, Usha; Goswami, Sandeep; Chawla, Bhavna; Bajaj, Mandeep Singh; Kashyap, Seema; Kaur, Jasbir

    2017-01-01

    AIM To elucidate the mechanism of multidrug resistance in retinoblastoma, and to acquire more insights into in vivo drug resistance. METHODS Three anticancer drug resistant Y79 human RB cells were generated against vincristine, etoposide or carboplatin, which are used for conventional chemotherapy in RB. Primary cultures from enucleated eyes after chemotherapy (PCNC) were also prepared. Their chemosensitivity to chemotherapeutic agents (vincristine, etoposide and carboplatin) were measured using MTT assay. Western blot analysis was performed to evaluate the expression of p53, Bcl-2 and various multidrug resistant proteins in retinoblastoma cells. RESULTS Following exposure to chemotherapeutic drugs, PCNC showed less sensitivity to drugs. No significant changes observed in the p53 expression, whereas Bcl-2 expression was found to be increased in the drug resistant cells as well as in PCNC. Increased expression of P-glycoprotein (P-gp) was observed in drug resistant Y79 cells; however there was no significant change in the expression of P-gp found between primary cultures of primarily enucleated eyes and PCNC. Multidrug resistance protein 1 (Mrp-1) expression was found to be elevated in the drug resistant Y79 cells as well as in PCNC. No significant change in the expression of lung resistance associated protein (Lrp) was observed in the drug resistant Y79 cells as well as in PCNC. CONCLUSION Our results suggest that multidrug resistant proteins are intrinsically present in retinoblastoma which causes treatment failure in managing retinoblastoma with chemotherapy. PMID:29181307

  3. Fast Resistive Bolometer

    International Nuclear Information System (INIS)

    Deeney, C.; Fehl, D.L.; Hanson, D.L.; Keltner, N.R.; McGurn, J.S.; McKenney, J.L.; Spielman, R.B.

    1999-01-01

    Resistive bolometry is an accurate, robust, spectrally broadband technique for measuring absolute x-ray fluence and flux. Bolometry is an independent technique for x-ray measurements that is based on a different set of physical properties than other diagnostics such as x-ray diodes, photoconducting detectors, and P-I-N diodes. Bolometers use the temperature-driven change in element resistivity to determine the total deposited energy. The calibration of such a device is based on fundamental material properties and its physical dimensions. We describe the use of nickel and gold bolometers to measure x rays generated by high-power z pinches on Sandia's Saturn and Z accelerators. The Sandia bolometer design described herein has a pulse response of ∼1 ns. We describe in detail the fabrication, fielding, and data analysis issues leading to highly accurate x-ray measurements. The fundamental accuracy of resistive bolometry will be discussed

  4. Mechanisms of quinolone resistance in Salmonella spp. / Mecanismos de resistência às quinolonas em Salmonella spp.

    Directory of Open Access Journals (Sweden)

    Tereza Cristina Rocha Moreira de Oliveira

    2010-07-01

    Full Text Available Salmonellosis is a common and widespread zoonotic disease of humans and a frequent cause of foodborne disease. Treatment of severe and systemic salmonellosis is usually done with fluoroquinolones. In this review resistance mechanisms of Salmonella to quinolones are discussed. Single point mutations in the quinolone resistant determining region (QRDR of the gyrA gene may be sufficient to generate high levels of resistance to non-fluorated quinolones and also may decrease the fluoroquinolones susceptibility. Other resistance mechanisms that should be considered are mutations in parC gene, the possibility of acquiring resistance through plasmidial transference and hyper-expression of efflux pumps. Fluoroquinolones resistance is still relatively uncommon in Salmonella compared to other species belonging to the Enterobacteriaceae family. However, the more careful use of fluoroquinolones in veterinary and human medicine is essential to decrease the selective pressure which can avoid the emergence and spread of resistant clones and consequently maintain the clinical efficacy of this group of antibiotics.A salmonelose é uma zoonose de importância mundial e uma das mais freqüentes doenças de origem alimentar. As fluoroquinolonas são a principal opção para o tratamento de salmoneloses graves ou sistêmicas. Esta revisão de literatura teve como objetivo apresentar os principais mecanismos envolvidos na resistência de Salmonella spp a estes antimicrobianos. Mutações de ponto na Região Determinante de Resistência à Quinolona (QRDR do gene gyrA podem gerar altos níveis de resistência a quinolonas não-fluoradas, além de reduzir a suscetibilidade as fluoroquinolonas. Outros mecanismos de resistência que também precisam ser considerados são as mutações no gene parC, a possibilidade do envolvimento de plasmídios de resistência e o sistema de efluxo ativo. A resistência às fluoroquinolonas ainda é incomum em Salmonella spp., quando

  5. Insulin resistance: definition and consequences.

    Science.gov (United States)

    Lebovitz, H E

    2001-01-01

    Insulin resistance is defined clinically as the inability of a known quantity of exogenous or endogenous insulin to increase glucose uptake and utilization in an individual as much as it does in a normal population. Insulin action is the consequence of insulin binding to its plasma membrane receptor and is transmitted through the cell by a series of protein-protein interactions. Two major cascades of protein-protein interactions mediate intracellular insulin action: one pathway is involved in regulating intermediary metabolism and the other plays a role in controlling growth processes and mitoses. The regulation of these two distinct pathways can be dissociated. Indeed, some data suggest that the pathway regulating intermediary metabolism is diminished in type 2 diabetes while that regulating growth processes and mitoses is normal.--Several mechanisms have been proposed as possible causes underlying the development of insulin resistance and the insulin resistance syndrome. These include: (1) genetic abnormalities of one or more proteins of the insulin action cascade (2) fetal malnutrition (3) increases in visceral adiposity. Insulin resistance occurs as part of a cluster of cardiovascular-metabolic abnormalities commonly referred to as "The Insulin Resistance Syndrome" or "The Metabolic Syndrome". This cluster of abnormalities may lead to the development of type 2 diabetes, accelerated atherosclerosis, hypertension or polycystic ovarian syndrome depending on the genetic background of the individual developing the insulin resistance.--In this context, we need to consider whether insulin resistance should be defined as a disease entity which needs to be diagnosed and treated with specific drugs to improve insulin action.

  6. Antimicrobial Resistance in the Food Chain: A Review

    Science.gov (United States)

    Verraes, Claire; Van Boxstael, Sigrid; Van Meervenne, Eva; Van Coillie, Els; Butaye, Patrick; Catry, Boudewijn; de Schaetzen, Marie-Athénaïs; Van Huffel, Xavier; Imberechts, Hein; Dierick, Katelijne; Daube, George; Saegerman, Claude; De Block, Jan; Dewulf, Jeroen; Herman, Lieve

    2013-01-01

    Antimicrobial resistant zoonotic pathogens present on food constitute a direct risk to public health. Antimicrobial resistance genes in commensal or pathogenic strains form an indirect risk to public health, as they increase the gene pool from which pathogenic bacteria can pick up resistance traits. Food can be contaminated with antimicrobial resistant bacteria and/or antimicrobial resistance genes in several ways. A first way is the presence of antibiotic resistant bacteria on food selected by the use of antibiotics during agricultural production. A second route is the possible presence of resistance genes in bacteria that are intentionally added during the processing of food (starter cultures, probiotics, bioconserving microorganisms and bacteriophages). A last way is through cross-contamination with antimicrobial resistant bacteria during food processing. Raw food products can be consumed without having undergone prior processing or preservation and therefore hold a substantial risk for transfer of antimicrobial resistance to humans, as the eventually present resistant bacteria are not killed. As a consequence, transfer of antimicrobial resistance genes between bacteria after ingestion by humans may occur. Under minimal processing or preservation treatment conditions, sublethally damaged or stressed cells can be maintained in the food, inducing antimicrobial resistance build-up and enhancing the risk of resistance transfer. Food processes that kill bacteria in food products, decrease the risk of transmission of antimicrobial resistance. PMID:23812024

  7. Coke fouling monitoring by electrical resistivity

    Energy Technology Data Exchange (ETDEWEB)

    Bombardelli, Clovis; Mari, Livia Assis; Kalinowski, Hypolito Jose [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduacao em Engenharia Eletrica e Informatica Industrial (CPGEI)

    2008-07-01

    An experimental method to simulate the growth of the coke fouling that occurs in the oil processing is proposed relating the thickness of the encrusted coke to its electrical resistivity. The authors suggest the use of the fouling electrical resistivity as a transducer element for determining its thickness. The sensor is basically two electrodes in an electrically isolated device where the inlay can happen in order to compose a purely resistive transducer. Such devices can be easily constructed in a simple and robust form with features capable to face the high temperatures and pressures found in relevant industrial processes. For validation, however, it is needed a relationship between the electrical resistivity and the fouling thickness, information not yet found in the literature. The present work experimentally simulates the growth of a layer of coke on an electrically insulating surface, equipped with electrodes at two extremities to measure the electrical resistivity during thermal cracking essays. The method is realized with a series of consecutive runs. The results correlate the mass of coke deposited and its electrical resistivity, and it can be used to validate the coke depositions monitoring employing the resistivity as a control parameter. (author)

  8. Antimicrobial Susceptibility/Resistance of Streptococcus Pneumoniae

    Science.gov (United States)

    Karcic, Emina; Aljicevic, Mufida; Bektas, Sabaheta; Karcic, Bekir

    2015-01-01

    Introduction: Pneumococcal infections are a major cause of morbidity and mortality worldwide, whose treatment is threatened with an increase in the number of strains resistant to antibiotic therapy. Goal: The main goal of this research was to investigate the presence of antimicrobial susceptibility/resistance of S. pneumoniae. Material and methods: Taken are swabs of the nose and nasopharynx, eye and ear. In vitro tests that were made in order to study the antimicrobial resistance of pneumococci are: disk diffusion method and E-test. Results: The resistance to inhibitors of cell wall synthesis was recorded at 39.17%, protein synthesis inhibitors 19.67%, folate antagonists 47.78% and quinolone in 1.11%. S. pneumoniae has shown drug resistance to erythromycin in 45%, clindamycin in 45%, chloramphenicol–0.56%, rifampicin–6.11%, tetracycline–4.67%, penicillin-G in 4.44%, oxacillin in 73.89%, ciprofloxacin in 1.11% and trimethoprim-sulfamethoxazole in 5.34% of cases. Conclusion: The highest resistance pneumococcus showed to erythromycin, clindamycin and trimethoprim-sulfamethoxazole and these should be avoided in the treatment. The least resistance pneumococcus showed to tetracycline, rifampicin, chloramphenicol, penicillin-G and ciprofloxacin. PMID:26236165

  9. The molecular changing mechanism of Ampicillin-Sulbactam resistant Staphylococcus aureus towards Methicillin resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Mieke Hemiawati Satari

    2005-12-01

    Full Text Available The aim of this study was to determine the molecular changing of S.aureus, which is resistant to Ampicillin-Sulbactam and then become resistant to Methicillin as a result of improper dosage. The study was conducted by isolating Ampicillin-Sulbactam resistant and Methicillin Resistant S.aureus (MRSA, afterwards an amplification process was performed by PCR (Polymerase Chain Reaction. to isolate the betalactamase enzyme regulator and PBP 2a genes. The result of this research showed that there were a deletion of few amino acids from the regulator gene, and a suspicion that the DNA sequence had been substituted from PBP 2 gene into PBP 2a (gen mec. This process had formed MRSA.

  10. Antimicrobial-Resistant Bacterial Populations and Antimicrobial Resistance Genes Obtained from Environments Impacted by Livestock and Municipal Waste.

    Directory of Open Access Journals (Sweden)

    Getahun E Agga

    Full Text Available This study compared the populations of antimicrobial-resistant bacteria and the repertoire of antimicrobial resistance genes in four environments: effluent of three municipal wastewater treatment facilities, three cattle feedlot runoff catchment ponds, three swine waste lagoons, and two "low impact" environments (an urban lake and a relict prairie. Multiple liquid and solid samples were collected from each environment. The prevalences and concentrations of antimicrobial-resistant (AMR Gram-negative (Escherichia coli and Salmonella enterica and Gram-positive (enterococci bacteria were determined from individual samples (n = 174. The prevalences of 84 antimicrobial resistance genes in metagenomic DNA isolated from samples pooled (n = 44 by collection date, location, and sample type were determined. The prevalences and concentrations of AMR E. coli and Salmonella were similar among the livestock and municipal sample sources. The levels of erythromycin-resistant enterococci were significantly higher in liquid samples from cattle catchment ponds and swine waste lagoons than in liquid samples from municipal wastewater treatment facilities, but solid samples from these environments did not differ significantly. Similarly, trimethoprim/sulfamethoxazole-resistant E. coli concentrations were significantly higher in swine liquid than in municipal liquid samples, but there was no difference in solid samples. Multivariate analysis of the distribution of antimicrobial resistance genes using principal coordinate analysis showed distinct clustering of samples with livestock (cattle and swine, low impact environment and municipal samples forming three separate clusters. The numbers of class A beta-lactamase, class C beta-lactamase, and fluoroquinolone resistance genes detected were significantly higher (P < 0.05 in municipal samples than in cattle runoff or swine lagoon samples. In conclusion, we report that AMR is a very widespread phenomenon and that similar

  11. Prevalence of transmitted drug resistance and impact of transmitted resistance on treatment success in the German HIV-1 Seroconverter Cohort.

    Directory of Open Access Journals (Sweden)

    Barbara Bartmeyer

    Full Text Available BACKGROUND: The aim of this study is to analyse the prevalence of transmitted drug resistance, TDR, and the impact of TDR on treatment success in the German HIV-1 Seroconverter Cohort. METHODS: Genotypic resistance analysis was performed in treatment-naïve study patients whose sample was available 1,312/1,564 (83.9% October 2008. A genotypic resistance result was obtained for 1,276/1,312 (97.3%. The resistance associated mutations were identified according to the surveillance drug resistance mutations list recommended for drug-naïve patients. Treatment success was determined as viral suppression below 500 copies/ml. RESULTS: Prevalence of TDR was stable at a high level between 1996 and 2007 in the German HIV-1 Seroconverter Cohort (N = 158/1,276; 12.4%; CI(wilson 10.7-14.3; p(for trend = 0.25. NRTI resistance was predominant (7.5% but decreased significantly over time (CI(Wilson: 6.2-9.1, p(for trend = 0.02. NNRTI resistance tended to increase over time (NNRTI: 3.5%; CI(Wilson: 2.6-4.6; p(for trend= 0.07, whereas PI resistance remained stable (PI: 3.0%; CI(Wilson: 2.1-4.0; p(for trend = 0.24. Resistance to all drug classes was frequently caused by singleton resistance mutations (NRTI 55.6%, PI 68.4%, NNRTI 99.1%. The majority of NRTI-resistant strains (79.8% carried resistance-associated mutations selected by the thymidine analogues zidovudine and stavudine. Preferably 2NRTI/1PIr combinations were prescribed as first line regimen in patients with resistant HIV as well as in patients with susceptible strains (susceptible 45.3%; 173/382 vs. resistant 65.5%; 40/61. The majority of patients in both groups were treated successfully within the first year after ART-initiation (susceptible: 89.9%; 62/69; resistant: 7/9; 77.8%. CONCLUSION: Overall prevalence of TDR remained stable at a high level but trends of resistance against drug classes differed over time. The significant decrease of NRTI-resistance in patients newly infected

  12. Pyramiding for Resistance Durability: Theory and Practice.

    Science.gov (United States)

    Mundt, Chris

    2018-04-12

    Durable disease resistance is a key component of global food security, and combining resistance genes into "pyramids" is an important way to increase durability of resistance. The mechanisms by which pyramids impact durability are not well known. The traditional view of resistance pyramids considers the use of major resistance gene (R-gene) combinations deployed against pathogens that are primarily asexual. Interestingly, published examples of the successful use of pyramids in the traditional sense are rare. In contrast, most published descriptions of durable pyramids in practice are for cereal rusts, and tend to indicate an association between durability and cultivars combining major R-genes with incompletely expressed, adult plant resistance genes. Pyramids have been investigated experimentally for a diversity of pathogens, and many reduce disease levels below that of the single best gene. Resistance gene combinations have been identified through phenotypic reactions, molecular markers, and challenge against effector genes. As resistance genes do not express equally in all genetic backgrounds, however, a combination of genetic information and phenotypic analyses provide the ideal scenario for testing of putative pyramids. Not all resistance genes contribute equally to pyramids, and approaches have been suggested to identify the best genes and combinations of genes for inclusion. Combining multiple resistance genes into a single plant genotype quickly is a challenge that is being addressed through alternative breeding approaches, as well as through genomics tools such as resistance gene cassettes and gene editing. Experimental and modeling tests of pyramid durability are in their infancy, but have promise to help direct future studies of pyramids. Several areas for further work on resistance gene pyramids are suggested.

  13. Fludarabine-mediated circumvention of cytarabine resistance is associated with fludarabine triphosphate accumulation in cytarabine-resistant leukemic cells.

    Science.gov (United States)

    Yamamoto, Shuji; Yamauchi, Takahiro; Kawai, Yasukazu; Takemura, Haruyuki; Kishi, Shinji; Yoshida, Akira; Urasaki, Yoshimasa; Iwasaki, Hiromichi; Ueda, Takanori

    2007-02-01

    The combination of cytarabine (ara-C) with fludarabine is a common approach to treating resistant acute myeloid leukemia. Success depends on a fludarabine triphosphate (F-ara-ATP)-mediated increase in the active intracellular metabolite of ara-C, ara-C 5'-triphosphate (ara-CTP). Therapy-resistant leukemia may exhibit ara-C resistance, the mechanisms of which might induce cross-resistance to fludarabine with reduced F-ara-ATP formation. The present study evaluated the effect of combining ara-C and fludarabine on ara-C-resistant leukemic cells in vitro. Two variant cell lines (R1 and R2) were 8-fold and 10-fold more ara-C resistant, respectively, than the parental HL-60 cells. Reduced deoxycytidine kinase activity was demonstrated in R1 and R2 cells, and R2 cells also showed an increase in cytosolic 5'-nucleotidase II activity. Compared with HL-60 cells, R1 and R2 cells produced smaller amounts of ara-CTP. Both variants accumulated less F-ara-ATP than HL-60 cells and showed cross-resistance to fludarabine nucleoside (F-ara-A). R2 cells, however, accumulated much smaller amounts of F-ara-ATP and were more F-ara-A resistant than R1 cells. In HL-60 and R1 cells, F-ara-A pretreatment followed by ara-C incubation produced F-ara-ATP concentrations sufficient for augmenting ara-CTP production, thereby enhancing ara-C cytotoxicity. No potentiation was observed in R2 cells. Nucleotidase might preferentially degrade F-ara-A monophosphate over ara-C monophosphate, leading to reduced F-ara-ATP production and thereby compromising the F-ara-A-mediated potentiation of ara-C cytotoxicity in R2 cells. Thus, F-ara-A-mediated enhancement of ara-C cytotoxicity depended on F-ara-ATP accumulation in ara-C-resistant leukemic cells but ultimately was associated with the mechanism of ara-C resistance.

  14. Molecular Identification of Methicillin-Resistant Staphylococcus ...

    African Journals Online (AJOL)

    Antimicrobial resistance has become a great public health problem worldwide and multi-drug resistant Staphylococcus aureus has been widely reported. Methods: The presence or absence of methicillin resistance gene (mecA) in 48 clinical wound isolates of S. aureus was examined by the polymerase chain reaction ...

  15. Experimental induction of paromomycin resistance in antimony-resistant strains of L. donovani: outcome dependent on in vitro selection protocol.

    Directory of Open Access Journals (Sweden)

    Sarah Hendrickx

    Full Text Available Paromomycin (PMM has recently been introduced for treatment of visceral leishmaniasis in India. Although no clinical resistance has yet been reported, proactive vigilance should be warranted. The present in vitro study compared the outcome and stability of experimental PMM-resistance induction on promastigotes and intracellular amastigotes. Cloned antimony-resistant L. donovani field isolates from India and Nepal were exposed to stepwise increasing concentrations of PMM (up to 500 µM, either as promastigotes or intracellular amastigotes. One resulting resistant strain was cloned and checked for stability of resistance by drug-free in vitro passage as promastigotes for 20 weeks or a single in vivo passage in the golden hamster. Resistance selection in promastigotes took about 25 weeks to reach the maximal 97 µM inclusion level that did not affect normal growth. Comparison of the IC(50 values between the parent and the selected strains revealed a 9 to 11-fold resistance for the Indian and 3 to 5-fold for the Nepalese strains whereby the resistant phenotype was also maintained at the level of the amastigote. Applying PMM pressure to intracellular amastigotes produced resistance after just two selection cycles (IC(50 = 199 µM compared to the parent strain (IC(50 = 45 µM. In the amastigote-induced strains/clones, lower PMM susceptibilities were seen only in amastigotes and not at all in promastigotes. This resistance phenotype remained stable after serial in vitro passage as promastigote for 20 weeks and after a single in vivo passage in the hamster. This study clearly demonstrates that a different PMM-resistance phenotype is obtained whether drug selection is applied to promastigotes or intracellular amastigotes. These findings may have important relevance to resistance mechanism investigations and the likelihood of resistance development and detection in the field.

  16. Annotating MYC Status in Treatment-Resistant Metastatic Castration-Resistant Prostate Cancer With Gallium-68 Citrate PET

    Science.gov (United States)

    2017-09-01

    which avidly binds to circulating transferrin) labeled transferrin (Tf) can detect MYC-positive prostate cancer tumors, since the transferrin receptor ...Castration-Resistant Prostate Cancer with Androgen Receptor - Axis Imaging. Journal of nuclear medicine : official publication, Society of Nuclear...AWARD NUMBER: W81XWH-16-1-0469 TITLE: Annotating MYC Status in Treatment-Resistant Metastatic Castration- Resistant Prostate Cancer With

  17. Induced multiple disease resistance in wheat

    International Nuclear Information System (INIS)

    Borojevic, K.; Worland, A.J.

    1990-01-01

    Full text: The existence of genes suppressing resistance to leaf rust, stem rust and yellow rust in hexaploid wheat has been suggested. If such genes are deleted or inactivated, a more resistant variety may be obtained. In mutant lines of the wheat variety San Pastore, selected after treatment with 20,000 rad of gamma-rays, resistance to leaf rust, yellow rust, stem rust, and to some extent to Erysiphe graminis was determined. The mutants responded to infection by producing necrotic flecks in the presence of high level of disease inoculum. Similar flecks develop under stress condition. It is likely that the mother variety San Pastore carries genes for resistance which are masked by suppressor genes. Irradiation inactivates suppressors so that resistance genes which were previously masked are expressed. The first results of monosomic analysis indicate that chromosomes of groups 4 and 5 or possibly 7 may be critical for expression of resistance in the mutant lines. (author)

  18. Correlation of NTD-silicon rod and slice resistivity

    International Nuclear Information System (INIS)

    Wolverton, W.M.

    1984-01-01

    Neutron transmutation doped silicon is an electronic material which presents an opportunity to explore a high level of resistivity characterization. This is due to its excellent uniformity of dopant concentration. Appropriate resistivity measurements on the ingot raw material can be used as a predictor of slice resistivity. Correlation of finished NTD rod (i.e. ingot) resistivity to as-cut slice resistivity (after the sawing process) is addressed in the scope of this paper. Empirical data show that the shift of slice-center resistivity compared to rod-end center resistivity is a function of a new kind of rod radial-resistivity gradient. This function has two domains, and most rods are in domain ''A''. Correlating equations show how to significantly improve the prediction of slice resistivity of rods in domain ''A''. The new rod resistivity specifications have resulted in manufacturing economies in the production of NTD silicon slices

  19. Communication equipment radiation resistance ensurance

    International Nuclear Information System (INIS)

    Myrova, L.O.; Chelizhenko, A.Z.

    1983-01-01

    A review of works on radiation resistance of electronic equipment (epsilon epsilon) for 15 years is presented. The effect of ionizing radiation appearing as a result of nuclear explosions in nuclear facilities and in outerspace on epsilon epsilon has been considered. Types of radiation effects in epsilon epsilon, radiation effect on semiconductor devices and integrated circUits, types of epsilon epsilon failures, as well as the procass of radiation-resistant epsilon epsilon designing and selection of its main parameters have been described. The methods of epsilon epsilon flowsheet optimization, application of mathematical simulation and peculiarities of ensurance of epsilon epsilon radiation resistance of communication systems are considered. Peculiarities of designing of radiation-resistant quartz generators, secondary power supply sources and amplifiers are discussed

  20. Insulin resistance and chronic inflammation

    Directory of Open Access Journals (Sweden)

    Natalia Matulewicz

    2016-12-01

    Full Text Available Insulin resistance is a condition of reduced biological response to insulin. Growing evidence indicates the role of the chronic low-grade inflammatory response in the pathogenesis of insulin resistance. Adipose tissue in obesity is characterized by increased lipolysis with the excessive release of free fatty acids, and is also a source of proinflammatory cytokines. Both these factors may inhibit insulin action. Proinflammatory cytokines exert their effect by stimulating major inflammatory NFκB and JNK pathways within the cells. Inflammatory processes in other insulin responsive tissues may also play a role in inducing insulin resistance. This paper is an overview of the chronic low-grade inflammation in adipose tissue, skeletal muscle, liver and endothelial cells during the development of insulin resistance.

  1. Analytic study of resistive instabilities

    Energy Technology Data Exchange (ETDEWEB)

    Svensson, Magnus

    2003-05-01

    In a fusion plasma there is always a small amount of resistivity that may cause instabilities. Although their rather slow growth rates they can be of major importance for fusion plasma confinement. In this work a MAPLE-code was rewritten and simplified to make it possible to analytically solve the linearized MHD-equations with resistivity in an RFP-configuration. By using the MHD-equations and expanding the unknown perturbed quantities u{sub 1r}(r) and B{sub 1r}(r) as Taylor series and solving each coefficient we could get eigenvalues, dispersion relations and a relation between the growth rate and the resistivity. The new code was first used to solve two cases with no resistivity and simple unstable equilibria which after running gave the correct expected results. The difference from running the original code with these two cases was the greater speed of the calculations and the less memory needed. Then by using an ideal MHD-stable equilibrium in a plasma with no resistivity the code gave us solutions which unfortunately were not of the expected kind but the time of the calculations was still very fast. The resistivity was finally added to the code with the ideal MHD-stable equilibrium. The program also this time gave incorrect results. We could, however, see from a relation between the growth rate and the resistivity that the solution may be approximately correct in this domain. Although we did not get all the correct results we have to consider the fact that we got results, that were not possible before. Before this work was carried out we could not get any results at all in the resistive cue because of the very long memory demanding expressions. In future work and studies it is not only possible to get the desired eigenvalues {gamma} as function of {eta} but also possible to get expressions for eigenfunctions, dispersion relations and other significant relations with a number of variable parameters. We could also use the method for any geometry and possibly for

  2. Analytic study of resistive instabilities

    International Nuclear Information System (INIS)

    Svensson, Magnus

    2003-05-01

    In a fusion plasma there is always a small amount of resistivity that may cause instabilities. Although their rather slow growth rates they can be of major importance for fusion plasma confinement. In this work a MAPLE-code was rewritten and simplified to make it possible to analytically solve the linearized MHD-equations with resistivity in an RFP-configuration. By using the MHD-equations and expanding the unknown perturbed quantities u 1r (r) and B 1r (r) as Taylor series and solving each coefficient we could get eigenvalues, dispersion relations and a relation between the growth rate and the resistivity. The new code was first used to solve two cases with no resistivity and simple unstable equilibria which after running gave the correct expected results. The difference from running the original code with these two cases was the greater speed of the calculations and the less memory needed. Then by using an ideal MHD-stable equilibrium in a plasma with no resistivity the code gave us solutions which unfortunately were not of the expected kind but the time of the calculations was still very fast. The resistivity was finally added to the code with the ideal MHD-stable equilibrium. The program also this time gave incorrect results. We could, however, see from a relation between the growth rate and the resistivity that the solution may be approximately correct in this domain. Although we did not get all the correct results we have to consider the fact that we got results, that were not possible before. Before this work was carried out we could not get any results at all in the resistive cue because of the very long memory demanding expressions. In future work and studies it is not only possible to get the desired eigenvalues γ as function of η but also possible to get expressions for eigenfunctions, dispersion relations and other significant relations with a number of variable parameters. We could also use the method for any geometry and possibly for non

  3. Resistência à podridão parda em pessegueiro Resistance to brown rot in peach plants

    Directory of Open Access Journals (Sweden)

    Juliano dos Santos

    2012-01-01

    Full Text Available A podridão parda, causada por Monilinia fructicola, é a mais importante doença fúngica do pessegueiro, principalmente em áreas quentes e úmidas, como a região produtora de pêssegos no Sul do Brasil. A resistência genética é a forma mais eficiente de controle da doença, além de reduzir o custo de produção e o impacto ambiental. A cultivar Bolinha, considerada padrão de resistência à doença, produz frutos de baixa qualidade e suas flores não têm a mesma resistência ao fungo. Este trabalho teve como objetivo buscar novas fontes de resistência a esta doença. Além da cultivar Bolinha, foram submetidos à inoculação com suspensão de 1 x 10(5 conídios mL-1 do patógeno, flores de 11 seleções de pessegueiro, frutos de 12 seleções e 20 plantas de cinco "seedlings" oriundos de hibridações. Os resultados indicaram que a seleção Conserva 930 e a cultivar Jubileu foram as que tiveram maior nível de resistência nas flores. Frutos das seleções Conserva 1798, Conserva 1596, Conserva 1218 e Cascata 1493 proporcionaram níveis de resistência semelhantes aos da cultivar Bolinha, e destas, apenas a Conserva 1798 manteve o mesmo desempenho em estádio mais avançado de infecção por M. fructicola.Brown rot, caused by Monilinia fructicola, is the most important peach disease, especially in warm humid areas such as the production area in Southern Brazil. Genetic resistance is the most efficient way for controlling this disease, reducing production costs and environmental problems. The Bolinha cultivar, considered as resistant standard, produces fruits of low quality and is not resistant to blossom blight. The objective of this study was to find new resistance sources to brown rot. Besides Bolinha cultivar, flowers of 11 selections, fruits of 12 selections, and 20 seedlings from hybrid progenies were inoculated with a suspension of conidia of M. fructicola. The results indicated that Conserva 930 and Jubileu cultivar showed

  4. Antifolate resistance mediated by the multidrug resistance proteins MRP1 and MRP2

    NARCIS (Netherlands)

    Hooijberg, J. H.; Broxterman, H. J.; Kool, M.; Assaraf, Y. G.; Peters, G. J.; Noordhuis, P.; Scheper, R. J.; Borst, P.; Pinedo, H. M.; Jansen, G.

    1999-01-01

    Transfection of multidrug resistance proteins (MRPs) MRP1 and MRP2 in human ovarian carcinoma 2008 cells conferred a marked level of resistance to short-term (1-4 h) exposure to the polyglutamatable antifolates methotrexate (MTX; 21-74-fold), ZD1694 (4-138-fold), and GW1843 (101-156-fold). Evidence

  5. Efflux Pumps Might Not Be the Major Drivers of QAC Resistance in Methicillin-Resistant Staphylococcus aureus.

    Science.gov (United States)

    Jennings, Megan C; Forman, Megan E; Duggan, Stephanie M; Minbiole, Kevin P C; Wuest, William M

    2017-08-17

    Quaternary ammonium compounds (QACs) are commonly used antiseptics that are now known to be subject to bacterial resistance. The prevalence and mechanisms of such resistance, however, remain underexplored. We investigated a variety of QACs, including those with multicationic structures (multiQACs), and the resistance displayed by a variety of Staphylococcus aureus strains with and without genes encoding efflux pumps, the purported main driver of bacterial resistance in MRSA. Through minimum inhibitory concentration (MIC)-, kinetic-, and efflux-based assays, we found that neither the qacR/qacA system present in S. aureus nor another efflux pump system is the main reason for bacterial resistance to QACs. Our findings suggest that membrane composition could be the predominant driver that allows CA-MRSA to withstand the assault of conventional QAC antiseptics. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Malaria resistance | Iyabo | Nigerian Medical Practitioner

    African Journals Online (AJOL)

    Age and puberty have been found to contribute to malaria resistance. It is expected that knowledge of natural resistance to malaria may aid in developing Vaccines against this deadly disease. Keywords: malaria resistance, puberty, malaria economy, malaria vaccine. Nigerian Medical Practitioner Vol. 49(5) 2006: 133-142 ...

  7. 29 CFR 1910.255 - Resistance welding.

    Science.gov (United States)

    2010-07-01

    ... 29 Labor 5 2010-07-01 2010-07-01 false Resistance welding. 1910.255 Section 1910.255 Labor... OCCUPATIONAL SAFETY AND HEALTH STANDARDS Welding, Cutting and Brazing § 1910.255 Resistance welding. (a.... Ignitron tubes used in resistance welding equipment shall be equipped with a thermal protection switch. (3...

  8. Considering resistance in systematic reviews of antibiotic treatment.

    Science.gov (United States)

    Leibovici, Leonard; Soares-Weiser, Karla; Paul, Mical; Goldberg, Elad; Herxheimer, Andrew; Garner, Paul

    2003-10-01

    Microorganisms resistant to antibiotic drugs are a threat to the health and chances of survival of patients. Systematic reviews on antibiotic drugs that ignore the topic of resistance present readers with a skewed view, emphasizing short-term efficacy or effectiveness while ignoring long-term consequences. To examine whether systematic reviews of antibiotic treatment consider resistance; if not, to find out whether data on resistance were reported in the original trials; and based on that, to offer a framework for taking resistance into account in systematic reviews. The Cochrane Database of Systematic Reviews (the Cochrane Library, 2001, issue 2); and MEDLINE, 1996-2000. (i) Systematic reviews or meta-analyses of antimicrobial therapy, published during 1996-2000. (ii) Randomized, controlled trials abstracted in systematic reviews that addressed a topic highly relevant to antibiotic resistance. We examined each systematic review, and each article, to see whether the implications of resistance were discussed; and whether data on resistance were collected. Out of 111 systematic reviews, only 44 (40%) discussed resistance. Ten reviews (9%) planned or performed collection of data on the response of patients with susceptible or resistant isolates. In 22 systematic reviews (20%), collection of data on induction of resistance was planned or performed. The topic of 41 reviews was judged highly relevant to resistance, and these reviews extracted data from 337 articles, out of which we retrieved 279 articles (83%). In 201 (72%) articles, resistance was discussed or data pertaining to it were collected. Ninety-seven articles (35%) gave actual data on resistance of pathogens to the study drugs, 71 articles (25%) data on efficacy of antibiotic drugs in patients with susceptible and resistant pathogens, and 55 articles (20%) provided data on infection or colonization with resistant strains during treatment. Most systematic reviews on antibiotic treatment ignored the issue of

  9. Drug resistance-related mutations in multidrug-resistant Mycobacterium tuberculosis isolates from diverse geographical regions

    Directory of Open Access Journals (Sweden)

    Senia Rosales-Klintz

    2012-01-01

    Conclusion: This study confirms that there are significant geographical differences in the distribution of resistance-related mutations and suggests that an increased understanding of such differences in the specific distribution of resistance conferring mutations is crucial for development of new, generally applicable, molecular tools for rapid diagnosis of drug-resistant TB. The fact that a narrower distribution of mutations in high MDR-TB prevalence settings was seen suggests that much of the problems in these settings can be a result of an ongoing transmission of certain MDR-TB strains.

  10. Theory of resistivity-gradient-driven turbulence

    International Nuclear Information System (INIS)

    Garcia, L.; Carreras, B.A.; Diamond, P.H.; Callen, J.D.

    1984-10-01

    A theory of the nonlinear evolution and saturation of resistivity-driven turbulence, which evolves from linear rippling instabilities, is presented. The nonlinear saturation mechanism is identified both analytically and numerically. Saturation occurs when the turbulent diffusion of the resistivity is large enough so that dissipation due to parallel electron thermal conduction balances the nonlinearly modified resistivity gradient driving term. The levels of potential, resistivity, and density fluctuations at saturation are calculated. A combination of computational modeling and analytic treatment is used in this investigation

  11. Determination of electrical resistivity of dry coke beds

    Energy Technology Data Exchange (ETDEWEB)

    Eidem, P.A.; Tangstad, M.; Bakken, J.A. [NTNU, Trondheim (Norway)

    2008-02-15

    The electrical resistivity of the coke bed is of great importance when producing FeMn, SiMn, and FeCr in a submerged arc furnace. In these processes, a coke bed is situated below and around the electrode tip and consists of metallurgical coke, slag, gas, and metal droplets. Since the basic mechanisms determining the electrical resistivity of a coke bed is not yet fully understood, this investigation is focused on the resistivity of dry coke beds consisting of different carbonaceous materials, i.e., coke beds containing no slag or metal. A method that reliably compares the electrical bulk resistivity of different metallurgical cokes at 1500{sup o} C to 1600{sup o}C is developed. The apparatus is dimensioned for industrial sized materials, and the electrical resistivity of anthracite, charcoal, petroleum coke, and metallurgical coke has been measured. The resistivity at high temperatures of the Magnitogorsk coke, which has the highest resistivity of the metallurgical cokes investigated, is twice the resistivity of the Corus coke, which has the lowest electrical resistivity. Zdzieszowice and SSAB coke sort in between with decreasing resistivities in the respective order. The electrical resistivity of anthracite, charcoal, and petroleum coke is generally higher than the resistivity of the metallurgical cokes, ranging from about two to about eight times the resistivity of the Corus coke at 1450{sup o}C. The general trend is that the bulk resistivity of carbon materials decreases with increasing temperature and increasing particle size.

  12. Employee resistance and injury during commercial robberies.

    Science.gov (United States)

    Jones, Jennifer; Casteel, Carri; Peek-Asa, Corinne

    2015-05-01

    To examine the association between employee resistance and injury and examine whether type or location of property stolen was associated with employee resistance during commercial robberies in a large metropolitan city. Robbery data were abstracted from police crime reports between 2008 and 2012. Log binomial regression models were used to identify predictors of employee resistance and to evaluate the association between employee resistance and injury. Employees resisted a robber in nearly half of all robbery events. Active employee resistance was significantly associated with employee injury (Adj PR: 1.49, 95% confidence interval, 1.34 to 1.65). Goods being stolen were associated with active employee resistance and employee injury, whereas cash only being stolen was inversely associated with employee injury. Results suggest that employee training in nonresistance can be an important strategy in protecting employees working with the exchange of cash and goods.

  13. Methicillin-resistant Staphylococcus aureus (MRSA)

    Science.gov (United States)

    Methicillin-resistant Staphylococcus aureus; Hospital-acquired MRSA (HA-MRSA); Staph - MRSA; Staphylococcal - MRSA ... Centers for Disease Control and Prevention website. Methicillin-resistant Staphylococcus aureus (MRSA). www.cdc.gov/mrsa/index.html . Updated ...

  14. Shatter resistance in sesame

    International Nuclear Information System (INIS)

    Langham, D.R.

    2001-01-01

    The majority of the world's sesame (probably over 99%) is shattering, and most of the harvest is manual. In a non-mechanized environment the last thing that farmers want is seed retention (''hold''). They want the seed to fall out as easily as possible. The amount of shattering desired is dependent on the method of harvest. By 1944 the first stage of mechanization was initiated. The indehiscent mutant found in 1943 showed in succeeding generations that it was controlled monogenically, and the homozygous recessive (id/id) gave indehiscence. Unfortunately, the id allele had pleiotropic effects including cupped leaves, twisted stems, short seed pods, semi-sterility, and low yield. Improvements in shatter resistance are relative within a specific program. For example, Sesaco has improved its shatter resistance each year, and still for the USA methods of harvest, further improvements are necessary to allow for better retention in adverse weather. This paper presents a methodology for quantifying shatter resistance so researchers can compare levels of shatter resistance between programs. (author)

  15. Characterizations of Soil Profiles Through Electric Resistivity Ratio

    Directory of Open Access Journals (Sweden)

    Chik Z

    2015-04-01

    Full Text Available This paper presents how near surface soil characteristics are obtained through soil electric resistivity ratio from soil apparent resistivity profile. In recent advances of electrical sensors, soil apparent resistivity is implemented as nondestructive method for obtaining near surface soil profile. Although geo-electric techniques offer an improvement to traditional soil sampling methods, the resulting data are still often misinterpreted for obtaining soil characteristics through apparent electrical resistivity in the field. Because, soil resistivity as before rain and after rain are changeable due to the presence of more moisture contents in field investigations. In this study, the parameter of soil electric resistivity ratio is incorporated to obtain reliable near surface soil profiles from apparent resistivity of adjacent two layers in soil. The variations of potential differences are taken into account for using four probes method to get the soil apparent resistivity profile. The research is significant for simpler and faster soil characterizations using resistivity ratio of apparent resistivity in soil investigations.

  16. 30 CFR 14.20 - Flame resistance.

    Science.gov (United States)

    2010-07-01

    ... 30 Mineral Resources 1 2010-07-01 2010-07-01 false Flame resistance. 14.20 Section 14.20 Mineral Resources MINE SAFETY AND HEALTH ADMINISTRATION, DEPARTMENT OF LABOR TESTING, EVALUATION, AND APPROVAL OF... § 14.20 Flame resistance. Conveyor belts for use in underground coal mines must be flame-resistant and...

  17. Radiosensitivity of drug-resistant human tumour xenografts

    International Nuclear Information System (INIS)

    Mattern, J.; Bak, M. Jr.; Volm, M.; Hoever, K.H.

    1989-01-01

    The radiosensitivity of three drug-resistant sublines of a human epidermoid lung carcinoma growing as xenografts in nude mice was investigated. Drug resistance to vincristine, actinomycin D and cisplatin was developed in vivo by repeated drug treatment. It was found that all three drug-resistant tumour lines were not cross-resistant to irradiation. (orig.) [de

  18. Antimicrobial resistance and resistance genes in Salmonella strains isolated from broiler chickens along the slaughtering process in China.

    Science.gov (United States)

    Zhu, Yuanting; Lai, Haimei; Zou, Likou; Yin, Sheng; Wang, Chengtao; Han, Xinfeng; Xia, Xiaolong; Hu, Kaidi; He, Li; Zhou, Kang; Chen, Shujuan; Ao, Xiaolin; Liu, Shuliang

    2017-10-16

    A total of 189 Salmonella isolates were recovered from 627 samples which were collected from cecal contents of broilers, chicken carcasses, chicken meat after cutting step and frozen broiler chicken products along the slaughtering process at a slaughterhouse in Sichuan province of China. The Salmonella isolates were subjected to antimicrobial susceptibility testing to 10 categories of antimicrobial agents using the Kirby-Bauer disk diffusion method. Those antibiotics-resistant isolates were further investigated for the occurrence of resistance genes, the presence of class 1 integron as well as the associated gene cassettes, and the mutations within the gyrA and parC genes. Consequently, the prevalence of Salmonella was 30.14% (47.96% for cecal content, 18.78% for chicken carcasses, 31.33% for cutting meat and 14.00% for frozen meat, respectively). The predominant serotypes were S. Typhimurium (15.34%) and S. Enteritidis (69.84%). High resistance rates to the following drugs were observed: nalidixic acid (99.5%), ampicillin (87.8%), tetracycline (51.9%), ciprofloxacin (48.7%), trimethoprim/sulfamethoxazole (48.1%), and spectinomycin (34.4%). Antimicrobial resistance profiling showed that 60.8% of isolates were multidrug resistant (MDR), and MDR strains increased from 44.7% to 78.6% along the slaughtering line. 94.6% (n=157) of beta-lactam-resistant isolates harbored at least one resistance gene of bla TEM or bla CTX-M . The relatively low prevalence of aminoglycoside resistance genes (aac(3)-II, aac(3)-IV, and ant(2″)-I) was found in 49 (66.2%) of antibiotic-resistant isolates. The tetracycline resistance genes (tet(A), tet(B), tet(C), and tet(G) and sulfonamide resistance genes (sul1, sul2, and sul3) were identified in 84 (85.7%) and 89 (97.8%) antibiotic-resistant isolates respectively. floR was identified in 44 (97.8%) florfenicol-resistant isolates. Class 1 integron was detected in 37.4% (n=43) of the MDR isolates. Two different gene cassettes, bla OXA-30 -aad

  19. Pharmaceutical Approaches to Target Antibiotic Resistance Mechanisms.

    Science.gov (United States)

    Schillaci, Domenico; Spanò, Virginia; Parrino, Barbara; Carbone, Anna; Montalbano, Alessandra; Barraja, Paola; Diana, Patrizia; Cirrincione, Girolamo; Cascioferro, Stella

    2017-10-26

    There is urgent need for new therapeutic strategies to fight the global threat of antibiotic resistance. The focus of this Perspective is on chemical agents that target the most common mechanisms of antibiotic resistance such as enzymatic inactivation of antibiotics, changes in cell permeability, and induction/activation of efflux pumps. Here we assess the current landscape and challenges in the treatment of antibiotic resistance mechanisms at both bacterial cell and community levels. We also discuss the potential clinical application of chemical inhibitors of antibiotic resistance mechanisms as add-on treatments for serious drug-resistant infections. Enzymatic inhibitors, such as the derivatives of the β-lactamase inhibitor avibactam, are closer to the clinic than other molecules. For example, MK-7655, in combination with imipenem, is in clinical development for the treatment of infections caused by carbapenem-resistant Enterobacteriaceae and Pseudomonas aeruginosa, which are difficult to treat. In addition, other molecules targeting multidrug-resistance mechanisms, such as efflux pumps, are under development and hold promise for the treatment of multidrug resistant infections.

  20. Mapping insecticide resistance and characterization of resistance mechanisms in Anopheles arabiensis (Diptera: Culicidae) in Ethiopia.

    Science.gov (United States)

    Alemayehu, Eba; Asale, Abebe; Eba, Kasahun; Getahun, Kefelegn; Tushune, Kora; Bryon, Astrid; Morou, Evangelia; Vontas, John; Van Leeuwen, Thomas; Duchateau, Luc; Yewhalaw, Delenasaw

    2017-09-02

    The emergence and spread of insecticide resistance in the major African malaria vectors Anopheles gambiae (s.s.) and An. arabiensis may compromise the current vector control interventions and threatens the global malaria control and elimination efforts. Insecticide resistance was monitored in several study sites in Ethiopia from 2013 to 2015 using papers impregnated with discriminating concentrations of DDT, deltamethrin, bendiocarb, propoxur, malathion, fenitrothion and pirimiphos-methyl, following the WHO insecticide susceptibility test procedure. Mosquitoes sampled from different localities for WHO bioassay were morphologically identified as An. gambiae (s.l.) using standard taxonomic keys. Samples were identified to species using species-specific polymerase chain reaction (PCR) and screened for the presence of target site mutations L1014F, L1014S and N1575Y in the voltage gated sodium channel (VGSC) gene and G119S in the acethylcholinesterase (AChE) gene using allele-specific PCR. Biochemical assays were performed to assess elevated levels of acetylcholinesterases, carboxylcholinesterases, glutathione-S-transferases (GSTs) and cytochrome P450s monooxygenases in wild populations of An. arabiensis, compared to the fully susceptible Sekoru An. arabiensis laboratory strain. Populations of An. arabiensis were resistant to DDT and deltamethrin but were susceptible to fenitrothion in all the study sites. Reduced susceptibility to malathion, pirimiphos-methyl, propoxur and bendiocarb was observed in some of the study sites. Knockdown resistance (kdr L1014F) was detected in all mosquito populations with allele frequency ranging from 42 to 91%. Elevated levels of glutathione-S-transferases (GSTs) were detected in some of the mosquito populations. However, no elevated levels of monooxygenases and esterases were detected in any of the populations assessed. Anopheles arabiensis populations from all surveyed sites in Ethiopia exhibited resistance against DDT and pyrethroids

  1. ENZYME RESISTANCE OF GENETICALLY MODIFIED STARCH POTATOES

    Directory of Open Access Journals (Sweden)

    A. Sh. Mannapova

    2015-01-01

    Full Text Available Here in this article the justification of expediency of enzyme resistant starch use in therapeutic food products is presented . Enzyme resistant starch is capable to resist to enzymatic hydrolysis in a small intestine of a person, has a low glycemic index, leads to decrease of postprandial concentration of glucose, cholesterol, triglycerides in blood and insulin reaction, to improvement of sensitivity of all organism to insulin, to increase in sense of fulness and to reduction of adjournment of fats. Resistant starch makes bifidogenшс impact on microflora of a intestine of the person, leads to increase of a quantity of lactobacillus and bifidobacterium and to increased production of butyric acid in a large intestine. In this regard the enzyme resistant starch is an important component in food for prevention and curing of human diseases such as diabetes, obesity, colitis, a cancer of large and direct intestine. One method is specified by authors for imitation of starch digestion in a human body. This method is based on the definition of an enzyme resistance of starch in vitro by its hydrolysis to glucose with application of a glucoamylase and digestive enzyme preparation Pancreatin. This method is used in researches of an enzyme resistance of starch, of genetically modified potato, high amylose corn starch Hi-Maize 1043 and HYLON VII (National Starch Food Innovation, USA, amylopectin and amylose. It is shown that the enzyme resistance of the starch emitted from genetically modified potatoes conforms to the enzyme resistance of the high amylose corn starch “Hi-Maize 1043 and HYLON VII starch”, (National Starch Food Innovation, the USA relating to the II type of enzyme resistant starch. It is established that amylopectin doesn't have the enzyme resistant properties. The results of researches are presented. They allow us to make the following conclusion: amylose in comparison with amylopectin possesses higher enzyme resistance and gives to

  2. Resistance and resistance fluctuations in random resistor networks under biased percolation.

    Science.gov (United States)

    Pennetta, Cecilia; Reggiani, L; Trefán, Gy; Alfinito, E

    2002-06-01

    We consider a two-dimensional random resistor network (RRN) in the presence of two competing biased processes consisting of the breaking and recovering of elementary resistors. These two processes are driven by the joint effects of an electrical bias and of the heat exchange with a thermal bath. The electrical bias is set up by applying a constant voltage or, alternatively, a constant current. Monte Carlo simulations are performed to analyze the network evolution in the full range of bias values. Depending on the bias strength, electrical failure or steady state are achieved. Here we investigate the steady state of the RRN focusing on the properties of the non-Ohmic regime. In constant-voltage conditions, a scaling relation is found between /(0) and V/V(0), where is the average network resistance, (0) the linear regime resistance, and V0 the threshold value for the onset of nonlinearity. A similar relation is found in constant-current conditions. The relative variance of resistance fluctuations also exhibits a strong nonlinearity whose properties are investigated. The power spectral density of resistance fluctuations presents a Lorentzian spectrum and the amplitude of fluctuations shows a significant non-Gaussian behavior in the prebreakdown region. These results compare well with electrical breakdown measurements in thin films of composites and of other conducting materials.

  3. Factors That Cause Trimethoprim Resistance in Streptococcus pyogenes

    Science.gov (United States)

    Bergmann, René; van der Linden, Mark; Chhatwal, Gursharan S.

    2014-01-01

    The use of trimethoprim in treatment of Streptococcus pyogenes infections has long been discouraged because it has been widely believed that this pathogen is resistant to this antibiotic. To gain more insight into the extent and molecular basis of trimethoprim resistance in S. pyogenes, we tested isolates from India and Germany and sought the factors that conferred the resistance. Resistant isolates were identified in tests for trimethoprim or trimethoprim-sulfamethoxazole (SXT) susceptibility. Resistant isolates were screened for the known horizontally transferable trimethoprim-insensitive dihydrofolate reductase (dfr) genes dfrG, dfrF, dfrA, dfrD, and dfrK. The nucleotide sequence of the intrinsic dfr gene was determined for resistant isolates lacking the horizontally transferable genes. Based on tentative criteria, 69 out of 268 isolates (25.7%) from India were resistant to trimethoprim. Occurring in 42 of the 69 resistant isolates (60.9%), dfrF appeared more frequently than dfrG (23 isolates; 33.3%) in India. The dfrF gene was also present in a collection of SXT-resistant isolates from Germany, in which it was the only detected trimethoprim resistance factor. The dfrF gene caused resistance in 4 out of 5 trimethoprim-resistant isolates from the German collection. An amino acid substitution in the intrinsic dihydrofolate reductase known from trimethoprim-resistant Streptococcus pneumoniae conferred resistance to S. pyogenes isolates of emm type 102.2, which lacked other aforementioned dfr genes. Trimethoprim may be more useful in treatment of S. pyogenes infections than previously thought. However, the factors described herein may lead to the rapid development and spread of resistance of S. pyogenes to this antibiotic agent. PMID:24492367

  4. Eleusine indica resistance to Accase inhibitors

    OpenAIRE

    Vidal, Ribas Antonio; Portes, Emerson da Silva; Lamego, Fabiane Pinto; Trezzi, Michelangelo Muzell

    2006-01-01

    Dentre as causas da ineficácia no controle de plantas daninhas destaca-se a resistência delas aos herbicidas. Os objetivos deste trabalho foram avaliar a suspeita de resistência de Eleusine indica a inibidores de acetil-CoA carboxilase (ACCase) e investigar a ocorrência de resistência cruzada entre os inibidores de ACCase. Biótipo de Eleusine indica originado do Mato Grosso com suspeita de resistência aos herbicidas inibidores de ACCase foi avaliado em casa de vegetação na sua suscetibilidade...

  5. Resistance monitoring and cross-resistance patterns of three rice planthoppers, Nilaparvata lugens, Sogatella furcifera and Laodelphax striatellus to dinotefuran in China.

    Science.gov (United States)

    Mu, Xi-Chao; Zhang, Wei; Wang, Li-Xiang; Zhang, Shuai; Zhang, Kai; Gao, Cong-Fen; Wu, Shun-Fan

    2016-11-01

    Three rice planthoppers, brown planthopper, Nilaparvata lugens, white-backed planthopper, Sogatella furcifera and small brown planthopper, Laodelphax striatellus, are important pests of cultivated rice in tropical and temperate Asia. They have caused severe economic loss and developed resistance to insecticides from most chemical classes. Dinotefuran is the third neonicotinoid which possesses a broad spectrum and systemic insecticidal activity. We determined the susceptibility of dinotefuran to field populations from major rice production areas in China from 2013 to 2015. All the populations of S. furcifera and L. striatellus were kept susceptible to dinotefuran (0.7 to 1.4-fold of S. furcifera and 1.1-to 3.4-fold of L. striatellus) However, most strains of N. lugens (except FQ15) collected in 2015 had developed moderate resistance to dinotefuran, with resistance ratios (RR) ranging from 23.1 to 100.0 folds. Cross-resistance studies showed that chlorpyrifos-resistant and buprofezin-resistant Sogatella furcifera, chlorpyrifos-resistant and fipronil-resistant L. striatellus, imidacloprid-resistant and buprofezin-resistant Nilaparvata lugens exhibited negligible or no cross-resistance to dinotefuran. Synergism tests showed that piperonyl butoxide (PBO) produced a high synergism of dinotefuran effects in the DY15 and JS15 populations (2.14 and 2.52-fold, respectively). The obvious increase in resistance to dinotefuran in N. lugens indicates that insecticide resistance management strategies are urgently needed to prevent or delay further increase of insecticide resistance in N. lugens. Copyright © 2016 Elsevier B.V. All rights reserved.

  6. Identification of Striga hermonthica-resistant Upland Rice Varieties in Sudan and Their Resistance Phenotypes

    Directory of Open Access Journals (Sweden)

    Hiroaki eSamejima

    2016-05-01

    Full Text Available Rice has become a major staple cereal in sub-Saharan Africa. Currently, upland rice cultivation is expanding particularly in rainfed areas where the root parasitic weed Striga hermonthica, a major constraint to cereal production, is endemic. Laboratory, pot, and semi-controlled open air experiments were performed to evaluate resistance of selected rice varieties in Sudan to a resident S. hermonthica population. In the laboratory, 27 varieties were screened for post-attachment resistance using the rhizotron technique. Varieties displaying high post-attachment resistance, Umgar, NERICA5, and NERICA13 together with NERICA4, NERICA18, and Nipponbare, a lowland rice variety, were further evaluated for performance and Striga resistance in pot and semi-controlled open air experiments and for germination inducing activity in a laboratory. In addition, comparative studies on reaction of Umgar, Kosti1 and Kosti2, released varieties for commercial production in Sudan, to the parasite were performed in two pot experiments. In the pot experiments Umgar and NERICA5, consistently, sustained the lowest Striga emergence (< 2.2 Striga plants per pot, while NERICA13 and NERICA4 supported 1.8–5.7 and 8.7–16.4 Striga plants per pot, respectively. In an artificially Striga-infested field, number of emergent Striga plants per 10 rice hills, at harvest, was 2.0, 2.0, 4.8, 13.5, 13.3, and 18.3 on Umgar, NERICA5, NERICA13, NERICA4, NERICA18, and Nipponbare, respectively. Striga had no adverse effects on total above-ground parts and panicle dry weight (DW in Umgar and NERICA5. Germination-inducing activity of root exudates, at 14 days after sowing onwards, was markedly lower for Umgar than for NERICA5, NERICA13, NERICA4, and NERICA18. Based on these findings, Umgar has both pre and post-attachment resistance to a resident Striga population in Sudan. Kosti1 and Kosti2, did not exhibit Striga-resistance at the same level as Umgar. Further the resistance of NERICA5, a

  7. Identification of Striga hermonthica-Resistant Upland Rice Varieties in Sudan and Their Resistance Phenotypes.

    Science.gov (United States)

    Samejima, Hiroaki; Babiker, Abdel G; Mustafa, Ahmed; Sugimoto, Yukihiro

    2016-01-01

    Rice has become a major staple cereal in sub-Saharan Africa. Currently, upland rice cultivation is expanding particularly in rainfed areas where the root parasitic weed Striga hermonthica, a major constraint to cereal production, is endemic. Laboratory, pot, and semi-controlled open air experiments were performed to evaluate resistance of selected rice varieties in Sudan to a resident S. hermonthica population. In the laboratory, 27 varieties were screened for post-attachment resistance using the rhizotron technique. Varieties displaying high post-attachment resistance, Umgar, NERICA5, and NERICA13 together with NERICA4, NERICA18, and Nipponbare, a lowland rice variety, were further evaluated for performance and Striga resistance in pot and semi-controlled open air experiments and for germination inducing activity in a laboratory. In addition, comparative studies on reaction of Umgar, Kosti1 and Kosti2, released varieties for commercial production in Sudan, to the parasite were performed in two pot experiments. In the pot experiments Umgar and NERICA5, consistently, sustained the lowest Striga emergence (pot), while NERICA13 and NERICA4 supported 1.8-5.7 and 8.7-16.4 Striga plants per pot, respectively. In an artificially Striga-infested field, number of emergent Striga plants per 10 rice hills, at harvest, was 2.0, 2.0, 4.8, 13.5, 13.3, and 18.3 on Umgar, NERICA5, NERICA13, NERICA4, NERICA18, and Nipponbare, respectively. Striga had no adverse effects on total above-ground parts and panicle dry weight in Umgar and NERICA5. Germination-inducing activity of root exudates, at 14 days after sowing onward, was markedly lower for Umgar than for NERICA5, NERICA13, NERICA4, and NERICA18. Based on these findings, Umgar has both pre and post-attachment resistance to a resident Striga population in Sudan. Kosti1 and Kosti2 did not exhibit Striga-resistance at the same level as Umgar. Further the resistance of NERICA5, a variety reported to be endowed with a broad spectrum

  8. Multiple genetic resistances in Capsicum spp.

    Science.gov (United States)

    Bento, C S; de Souza, A G; Sudré, C P; Pimenta, S; Rodrigues, R

    2017-09-27

    This study aimed to identify Capsicum genotypes with resistance to bacterial spot (BS), anthracnose and Pepper yellow mosaic virus (PepYMV). Fifty-four genotypes of Capsicum spp were evaluated. Resistance reaction against BS was evaluated using three replicates, testing hypersensitivity and quantitative resistance in leaves. After evaluation, inoculated leaves were detached from the plants, being then cultivated until reproductive stage for evaluations anthracnose resistance in immature and mature fruit, totalizing 18 fruits per genotype. For PepYMV resistance was performed with five replications. Each genotype reaction was evaluated by a scoring scale, using the area under the disease progress curve for each pathosystem, and incubation period for the three systems. The latent period was evaluated only for the pathosystem Capsicum-Colletotrichum gloeosporioides. Means were grouped by the Scott-Knott test. Measures of dissimilarity matrix among the genotypes were obtained by Gower's algorithm and the grouping was obtained by the UPGMA clustering method. The accessions belonging to the Capsicum frutescens were the most susceptible to the three diseases. At least one genotype of Capsicum baccatum var. pendulum, Capsicum annuum, and Capsicum chinense showed resistance potential to BS and PepYMV, for use in breeding programs. The accession UENF 1381 (C. annuum) was resistant to the three pathogens.

  9. Analysis of differentially expressed genes related to resistance in spinosad- and neonicotinoid-resistant Musca domestica L. (Diptera: Muscidae) strains

    DEFF Research Database (Denmark)

    Castberg, Dorte Heidi Højland; Kristensen, Michael

    2017-01-01

    strains differing significantly in their response to insecticides. High differential expression of P450s and genes coding for cuticle protein indicates a combination of factors involved in metabolic neonicotinoid and spinosad resistance. Conclusion Resistance in these strains is apparently not linked...... interesting in terms of neonicotinoid resistance, while cyp4d9 was overexpressed in 791spin compared to spinosad-susceptible strains. GSTs, ESTs and UGTs were mostly overexpressed, but not to the same degree as P450s. We present a comprehensive and comparative picture of gene expression in three housefly......Background The housefly is a global pest that has developed resistance to most insecticides applied against it. Resistance of the spinosad-resistant strain 791spin and the neonicotinoid-resistant 766b strain is believed to be due to metabolism. We investigate differentially expressed genes...

  10. Tracking acquired antibiotic resistance in commensal bacteria of Galápagos land iguanas: no man, no resistance.

    Directory of Open Access Journals (Sweden)

    Maria Cristina Thaller

    Full Text Available BACKGROUND: Antibiotic resistance, evolving and spreading among bacterial pathogens, poses a serious threat to human health. Antibiotic use for clinical, veterinary and agricultural practices provides the major selective pressure for emergence and persistence of acquired resistance determinants. However, resistance has also been found in the absence of antibiotic exposure, such as in bacteria from wildlife, raising a question about the mechanisms of emergence and persistence of resistant strains under similar conditions, and the implications for resistance control strategies. Since previous studies yielded some contrasting results, possibly due to differences in the ecological landscapes of the studied wildlife, we further investigated this issue in wildlife from a remote setting of the Galapagos archipelago. METHODOLOGY/PRINCIPAL FINDINGS: Screening for acquired antibiotic resistance was carried out in commensal enterobacteria from Conolophus pallidus, the terrestrial iguana of Isla Santa Fe, where: i the abiotic conditions ensure to microbes good survival possibilities in the environment; ii the animal density and their habits favour microbial circulation between individuals; and iii there is no history of antibiotic exposure and the impact of humans and introduced animal species is minimal except for restricted areas. Results revealed that acquired antibiotic resistance traits were exceedingly rare among bacteria, occurring only as non-dominant strains from an area of minor human impact. CONCLUSIONS/SIGNIFICANCE: Where both the exposure to antibiotics and the anthropic pressure are minimal, acquired antibiotic resistance traits are not normally found in bacteria from wildlife, even if the ecological landscape is highly favourable to bacterial circulation among animals. Monitoring antibiotic resistance in wildlife from remote areas could also be a useful tool to evaluate the impact of anthropic pressure.

  11. Tracking acquired antibiotic resistance in commensal bacteria of Galápagos land iguanas: no man, no resistance.

    Science.gov (United States)

    Thaller, Maria Cristina; Migliore, Luciana; Marquez, Cruz; Tapia, Washington; Cedeño, Virna; Rossolini, Gian Maria; Gentile, Gabriele

    2010-02-01

    Antibiotic resistance, evolving and spreading among bacterial pathogens, poses a serious threat to human health. Antibiotic use for clinical, veterinary and agricultural practices provides the major selective pressure for emergence and persistence of acquired resistance determinants. However, resistance has also been found in the absence of antibiotic exposure, such as in bacteria from wildlife, raising a question about the mechanisms of emergence and persistence of resistant strains under similar conditions, and the implications for resistance control strategies. Since previous studies yielded some contrasting results, possibly due to differences in the ecological landscapes of the studied wildlife, we further investigated this issue in wildlife from a remote setting of the Galapagos archipelago. Screening for acquired antibiotic resistance was carried out in commensal enterobacteria from Conolophus pallidus, the terrestrial iguana of Isla Santa Fe, where: i) the abiotic conditions ensure to microbes good survival possibilities in the environment; ii) the animal density and their habits favour microbial circulation between individuals; and iii) there is no history of antibiotic exposure and the impact of humans and introduced animal species is minimal except for restricted areas. Results revealed that acquired antibiotic resistance traits were exceedingly rare among bacteria, occurring only as non-dominant strains from an area of minor human impact. Where both the exposure to antibiotics and the anthropic pressure are minimal, acquired antibiotic resistance traits are not normally found in bacteria from wildlife, even if the ecological landscape is highly favourable to bacterial circulation among animals. Monitoring antibiotic resistance in wildlife from remote areas could also be a useful tool to evaluate the impact of anthropic pressure.

  12. Anthelmintics Resistance; How to Overcome it?

    Directory of Open Access Journals (Sweden)

    Hatem A Shalaby

    2013-03-01

    Full Text Available Many parasitic helminthes of veterinary importance have genetic features that favor development of anthelmintic resistance, this becoming a major worldwide constrain in livestock production. The develop­ment of anthelmintic resistance poses a large threat to future production and welfare of graz­ing animals. Development of variable degrees of resistance among different species of gastrointes­tinal nematodes has been reported for all the major groups of anthelmintic drugs. It has been ob­served that frequent usage of the same group of anthelmintic; use of anthelmintics in sub-optimal doses, prophylactic mass treatment of domestic animals and frequent and continuous use of a single drug have contributed to the widespread development of anthelmintic resistance in helminthes. The degree and extent of this problem especially with respect to multidrug resistance in nematode popula­tions is likely to increase. Maintaining parasites in refugia and not exposed to anthelmintics, seems to be a key point in controlling and delaying the development of resistance, because the suscepti­ble genes are preserved. Targeted selective treatments attract the interest of scientists to­wards this direction. Additionally, adoption of strict quarantine measures and a combination drug strategy are two important methods of preventing of anthelmintic resistance. Experience from the development of anthelmintic resistance suggests that modern control schemes should not rely on sole use of anthelmintics, but employ other, more complex and sustainable recipes, including parasite resistant breeds, nutrition, pasture management, nematode-trapping fungi, antiparasitic vaccines and botanical dewormers. Most of them reduce reliance on the use of chemicals and are environmental friendly. Finally, if new anthelmintic products are released, an important question will be raised about how they should be used. It is suggested that slowing the development of resistance to a new

  13. Heavy resistance training and lymphedema

    DEFF Research Database (Denmark)

    Bloomquist, Kira; Karlsmark, Tonny; Christensen, Karl Bang

    2014-01-01

    BACKGROUND: There is limited knowledge regarding progressive resistance training during adjuvant chemotherapy and the risk of developing breast cancer-related lymphedema (BCRL). Furthermore, no studies have investigated the safety of resistance training with heavy loads (> 80% 1 repetition maximum......) in this population. 'Body and Cancer' is a six-week, nine-hour weekly, supervised, multimodal exercise intervention utilizing progressive resistance training with heavy loads for cancer patients undergoing chemotherapy. The purpose of the present study was to estimate the prevalence of BCRL in former participants......, and identify associations between progressive resistance training with heavy loads, and the development of BCRL. MATERIAL AND METHODS: This was a descriptive study. POPULATION: Women treated for breast cancer (n = 149), who had participated in the 'Body and Cancer' exercise intervention between 1 January 2010...

  14. Faradic resistance of the electrode/electrolyte interface.

    Science.gov (United States)

    Mayer, S; Geddes, L A; Bourland, J D; Ogborn, L

    1992-09-01

    A new method is used to measure the direct-current (Faradic) resistance of a single electrode/electrolyte interface. The method employs a constant-current pulse and a potential-sensing electrode. By choosing a sufficiently long pulse duration, the voltage between the test and potential-sensing electrode exhibits a three-phase response. In the steady-state phase, the voltage measured is equal to the current flowing through the electrode Faradic resistance and the resistance of the electrolyte between the test and potential-sensing electrode. By measuring this latter resistance with a high-frequency sinusoidal alternating current, the voltage drop in the electrolyte is calculated and subtracted from the voltage measured between the test and potential-sensing electrode, thereby allowing calculation of the Faradic resistance. By plotting the reciprocal of the Faradic resistance against current density and fitting the data points to a third-order polynomial, it is possible to determine the zero-current density (Faradic) resistance. This technique was used to determine the Faradic resistance of electrodes (0.1 cm2) of stainless-steel, platinum, platinum-iridium and rhodium in 0.9 per cent NaCl at 25 degrees. The zero current Faradic resistance is lowest for platinum (30.3 k omega), slightly higher for platinum-iridium (47.6k omega), much higher for rhodium (111k omega) and highest for type 316 stainless-steel (345k omega). In all cases, the Faradic resistance decreases dramatically with increasing current density.

  15. Antibiotic Resistance in Childhood with Pneumococcal Infection

    Directory of Open Access Journals (Sweden)

    Ali Gunes

    2013-10-01

    Full Text Available Aim: Resistance to antibiotics is better. Between should not be in capitals. Antibiotics resistant has been increasing in pneumococci that cause serious diseases such as pneumonia, meningitis in recent years. The resistance rates vary between geographic regions. In this study, we aimed to determine antibiotic resistance rates in pneumococcal infections in our region. Material and Method: This study included 31 pneumococcal strains isolated from blood, CSF and urine samples of patients with meningitis, sepsis and urinary tract infections who admitted Dicle University Medicine School Children Clinic and Diyarbakir Pediatric Hospital Between December 2004-April 2007. Reproducing clinical specimens with alpha-hemolysis, optochin-sensitive, bile soluble and gram-positive diplococci morphology was defined as S. pneumoniae. The antimicrobial susceptibilities of strains were measured by the E-test method. MIC values of penicillin against pneumococci was accepted as <0.06 mg / ml value of the sensitive, 0.12-1μg/ml mid-level resistance, ≥ 2 mg / ml value of the high-level resistance. Results: It was found 16% mid-level penicillin resistance and 3.2% high-level penicillin resistance by E-test method. 80.7% of Strains were percent of the penicillin-sensitive. Seftiriakson resistance was found as 3.2%. there was not Vancomycin resistance. Discussion: We think penicillin therapy is enough effective for pneumococcal infections except serious conditions such as meningitis and sepsis. Also we think it should be supported by multicenter studies.

  16. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  17. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter.

    Science.gov (United States)

    Li, Lili; Heidemann Olsen, Rikke; Ye, Lei; Yan, He; Nie, Qing; Meng, Hecheng; Shi, Lei

    2016-04-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across species, genes conferring antimicrobial resistance were observed with the following frequencies: blaTEM, 40.7%; blaCMY-2, 15.2%; blaCTX-M, 11.5%; sul2, 27.2%; sul1, 14.4%; tet(A), 5.4%; tet(L), 5.4%; tet(M), 5.0%; tet(E), 3.7%; tet(C), 3.3%; tet(S), 2.5%; and tet(K), 0.8%. Various antimicrobial resistance genes were found in new carriers: blaTEM in Lactococcus garvieae, Myroides odoratimimus, Aeromonas hydrophila, Staphylococcus sciuri, Raoultella terrigena, Macrococcus caseolyticus, Acinetobacter ursingii, Sphingobacterium sp., and Oceanobacillus sp.; blaCMY-2 in Lactococcus lactis, Klebsiella oxytoca, Serratia marcescens, Acinetobacter baumannii, and Myroides phaeus; tet(L) in M. caseolyticus; sul1 in Vibrio cincinnatiensis; sul2 in Acinetobacter bereziniae, Acinetobacter johnsonii, and V. cincinnatiensis; and the class 1 integron and gene cassette aadA2 in V. cincinnatiensis. Approximately 6.6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor- encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance of aerobic bacteria from pork as reservoirs for antimicrobial resistance genes and mobile genetic elements that can readily be transferred intra- and interspecies.

  18. Linezolid-resistant enterococci in Polish hospitals: species, clonality and determinants of linezolid resistance.

    Science.gov (United States)

    Gawryszewska, I; Żabicka, D; Hryniewicz, W; Sadowy, E

    2017-07-01

    The significant increase of the linezolid-resistant enterococci (LRE) has been observed in Polish hospitals since 2012 and our study aimed at elucidating the possible reasons for this phenomenon. Polish LRE isolates were analysed by multilocus-sequence typing (MLST) and multiple locus variable-number tandem repeat (VNTR) analysis (MLVA), polymerase chain reaction (PCR) and PCR-restriction fragment length polymorphism (PCR-RFLP) to establish clonal relatedness and mechanism of linezolid resistance, respectively. Fifty analysed LRE (2008-2015) included mostly Enterococcus faecium (82%) and Enterococcus faecalis (16%). Enterococcus faecium belonged to the hospital-adapted lineages 17/18 and 78, while E. faecalis isolates represented ST6, a hospital-associated type, and ST116, found in both humans and food-production animals. The G2576T 23S rRNA mutation was the most frequent (94%) mechanism of linezolid/tedizolid resistance of LRE. None of the isolates carried the plasmid-associated gene of Cfr methyltransferase, whereas optrA, encoding the ABC-type drug transporter, was identified in two E. faecalis isolates. In these isolates, optrA was located on a plasmid, transferable to both E. faecium and E. faecalis, whose partial (36.3 kb) sequence was 100% identical to the pE394 plasmid, identified previously in China in both clinical and farm animal isolates. The optrA-E. faecium transconjugant displayed a significant growth deficiency, in contrast to the optrA-E. faecalis. Our study indicates the role of mutation acquisition by hospital-adapted clones of enterococci as a major driver of increasing resistance to linezolid and tedizolid. Transferability and apparent lack of a biological cost of resistance suggest that E. faecalis may be a natural reservoir of optrA, an emerging mechanism of oxazolidinone resistance.

  19. Mutation breeding for downy mildew resistance in pearl millet. Nucleo-cytoplasmic interactions in disease-resistant lines

    International Nuclear Information System (INIS)

    Murty, B.R.; Thakur, S.R.; Prakash, N.; Mehta, S.L.; Bhakta, S.T.

    1983-01-01

    Under the need to rescue hybrid pearl millet cultivation in India from devastating damage by downy mildew, a mutation induction project was started in 1971 to make the commonly used male sterile parent Tift 23A resistant to the disease. Simultaneously sources of resistance from West Africa were used in crossbreeding by which climatic adaptation and male sterility had to be transferred. A number of mildew-resistant hybrids were developed, both from induced mutation and introduction. The resistant male sterile lines were further examined as to their common features and differences from susceptible lines. A strong evidence for nuclear-cytoplasmic interaction was obtained by biochemical and ultrastructural investigations. (author)

  20. Antimicrobial-resistant Shigella infections from Iran

    DEFF Research Database (Denmark)

    Tajbakhsh, Mercedeh; García Migura, Lourdes; Rahbar, Mohammad

    2012-01-01

    Objectives: In this study, we wanted to assess the level of antimicrobial resistance, the presence of genes encoding resistance to cephalosporins and plasmid-mediated quinolone resistance (PMQR), and genetic relatedness among Shigella isolates obtained from Iranian patients. ; Methods: A total...... of 44 Shigella isolates were collected from Iranian patients admitted to Milad Hospital, Tehran, Iran, during 2008–10. Of these, 37 were serotyped and characterized by MIC determination. A subset of eight suspected extended-spectrum β-lactamase (ESBL) producers (six Shigella sonnei phase II and two...... Shigella flexneri type 1b) were examined for the presence of genes encoding cephalosporin resistance. The presence of PMQR was assessed in one S. flexneri isolate exhibiting low-level resistance to ciprofloxacin and susceptibility to nalidixic acid. PFGE was performed on 25 S. sonnei phase II isolates...

  1. Molecular characterization of resistance to Rifampicin in an emerging hospital-associated Methicillin-resistant Staphylococcus aureus clone ST228, Spain

    Directory of Open Access Journals (Sweden)

    Liñares Josefina

    2010-03-01

    Full Text Available Abstract Background Methicillin-resistant S. aureus (MRSA has been endemic in Hospital Universitari de Bellvitge, Barcelona, since 1990. During the 1990-95 period the Iberian clone (ST-247; SCCmec-I was dominant. Isolates of clonal complex 5 (ST-125; SCCmec-IV gradually replaced the Iberian clone from 1996 to 2003. A new multiresistant MRSA phenotype showing rifampicin resistance emerged in 2004 and rapidly increased from 25% in 2004 to 45% in 2006. The aims of this study were i the molecular characterisation of rifampicin resistant MRSA isolates, ii the study of the rifampicin resistance expression by disk diffusion, microdilution and E-test, and iii the analysis of the rpoB gene mutations involved in rifampicin resistance. Results A sample of representative 108 rifampicin-resistant MRSA isolates belonged to a single PFGE genotype, ST-228, SCCmec type I and spa type t041. Of 108 isolates, 104 (96% had a low-level rifampicin resistance (MICs, 2 to 4 mg/L and 4 a high-level rifampicin resistance (MICs, 128 - ≥ 256 mg/L. Disk diffusion and E-test methods failed to identify a low-level rifampicin resistance in 20 and 12 isolates, respectively. A low-level rifampicin resistance was associated with amino acid substitution 481His/Asn in the beta-subunit of RNA polymerase. Isolates with a high-level rifampicin resistance carried additional mutations in the rpoB gene. Conclusions The emergence of MRSA clone ST228-SCCmecI, related to the Southern Germany clone, involved a therapeutical challenge for treating serious MRSA infections. Decreased susceptibility to rifampicin in MRSA strains of ST228-SCCmecI was associated with one or two specific mutations in the rpoB gene. One fifth of isolates with low-level rifampicin-resistance were missed by the diffusion methods.

  2. Clopidogrel Resistance: Current Issues

    Directory of Open Access Journals (Sweden)

    NS Neki

    2016-05-01

    Full Text Available Antiplatelet agents are mainly used in the prevention and management of atherothrombotic complications. Dual antiplatelet therapy, combining aspirin and clopidogrel, is the standard care for patients having acute coronary syndromes or undergoing percutaneous coronary intervention according to the current ACC/AHA and ESC guidelines. But in spite of administration of dual antiplatelet therapy, some patients develop recurrent cardiovascular ischemic events especially stent thrombosis which is a serious clinical problem. Antiplatelet response to clopidogrel varies widely among patients based on ex vivo platelet function measurements. Clopidogrel is an effective inhibitor of platelet activation and aggregation due to its selective and irreversible blockade of the P2Y12 receptor. Patients who display little attenuation of platelet reactivity with clopidogrel therapy are labeled as low or nonresponders or clopidogrel resistant. The mechanism of clopidogrel resistance remains incompletely defined but there are certain clinical, cellular and genetic factors including polymorphisms responsible for therapeutic failure. Currently there is no standardized or widely accepted definition of clopidogrel resistance. The future may soon be realised in the routine measurement of platelet activity in the same way that blood pressure, cholesterol and blood sugar are followed to help guide the therapy, thus improving the care for millions of people. This review focuses on the methods used to identify patients with clopidogrel resistance, the underlying mechanisms, metabolism, clinical significance and current therapeutic strategies to overcome clopidogrel resistance. J Enam Med Col 2016; 6(1: 38-46

  3. Drug-resistant spinal tuberculosis

    Directory of Open Access Journals (Sweden)

    Anil K Jain

    2018-01-01

    Full Text Available Drug-resistant spinal tuberculosis (TB is an emerging health problem in both developing and developed countries. In this review article, we aim to define management protocols for suspicion, diagnosis, and treatment of such patients. Spinal TB is a deep-seated paucibacillary lesion, and the demonstration of acid-fast bacilli on Ziehl-Neelsen staining is possible only in 10%–30% of cases. Drug resistance is suspected in patients showing the failure of clinicoradiological improvement or appearance of a fresh lesion of osteoarticular TB while on anti tubercular therapy (ATT for a minimum period of 5 months. The conventional culture of Mycobacterium tuberculosis remains the gold standard for both bacteriological diagnosis and drug sensitivity testing (DST; however, the high turn around time of 2–6 weeks for detection with added 3 weeks for DST is a major limitation. To overcome this problem, rapid culture methods and molecular methods have been introduced. From a public health perspective, reducing the period between diagnosis and treatment initiation has direct benefits for both the patient and the community. For all patients of drug-resistant spinal TB, a complete Drug-O-Gram should be prepared which includes details of all drugs, their doses, and duration. Patients with confirmed multidrug-resistant TB strains should receive a regimen with at least five effective drugs, including pyrazinamide and one injectable. Patients with resistance to additional antitubercular drugs should receive individualized ATT as per their DST results.

  4. Stabilization of ideal plasma resistive wall modes in cylindrical geometry: The effect of resistive layers

    International Nuclear Information System (INIS)

    Finn, J.M.

    1995-01-01

    A cylindrical model with finite beta having an external resonant ideal magnetohydrodynamic instability has been constructed. This resonant mode has a mode rational surface, where the safety factor q equals m/n, within the plasma. In this model, the perturbed radial magnetic field for the ideal mode is nonzero between the mode rational surface and the wall, even though it must vanish at the mode rational surface. This property of the mode is in common with the toroidal external kink. Results are presented showing that in the parameter range for which this ideal mode is stable with a conducting wall but unstable with the wall at infinity, a resistive wall mode persists. However, in the presence of plasma resistivity in a resistive layer about the mode rational surface, this resistive wall mode can be stabilized by a plasma rotation frequency of order a nominal resistive instability growth rate. Furthermore, the stabilization occurs in a large gap in wall position or beta. It is also shown that for the ideal resonant mode, as well as resistive plasma modes and nonresonant ideal plasma modes, there is a maximum value of plasma rotation above which there is no stability gap. Discussions are presented suggesting that these properties may hold for the toroidal external kink. copyright 1995 American Institute of Physics

  5. [Molecular biology of castration-resistant prostate cancer].

    Science.gov (United States)

    Doucet, Ludovic; Terrisse, Safae; Gauthier, Hélène; Pouessel, Damien; Le Maignan, Christine; Teixeira, Luis; Culine, Stéphane

    2015-06-01

    Castration-resistant prostate cancer was subjected to a paradigm switch from hormone resistance to androgen deprivation therapy resistance during the last decade. Indeed, new therapeutics targeting the androgen receptor showed clinical efficacy in patients with progressive disease under castration. Thus, it is a proof that the AR remains a dominant driver of oncogenesis in earlier-called hormone resistant prostate cancer. This review summarizes the molecular mechanisms involved in castration-resistant prostate cancer. Copyright © 2015 Société Française du Cancer. Published by Elsevier Masson SAS. All rights reserved.

  6. Molecular screening of antibiotic-resistant determinants among multidrug-resistant clinical isolates of Proteus mirabilis from SouthWest Nigeria.

    Science.gov (United States)

    Alabi, Olumuyiwa Samuel; Mendonça, Nuno; Adeleke, Olufemi Ezekiel; da Silva, Gabriela Jorge

    2017-06-01

    Globally, and particularly in developing countries, the menace of anti-microbial resistance is an accelerating problem. In Nigeria, increase in bacterial resistance has been phenotypically established but due to high cost, few molecular studies have been reported. This study screened for presence of transferable resistance genes and mobile genetic elements (MGEs) such as integron among multi-drug resistant (MDR) P. mirabilis . A total of 108 P. mirabilis strains collected from five tertiary hospitals in SouthWest Nigeria were subjected to antibiotic susceptibility study using disc-diffusion method. Transferable resistance genes and MGEs were amplified using Polymerase chain reaction (PCR) analysis and amplicons sequenced. Varied resistance was observed against all the antibiotics tested. About 56% of the isolates were MDR including those from 0-12 years old children. PCR analysis revealed the presence of aac(6')-Ib (33.3%), plasmid mediated quinolone resistance (PMQR) genes [qnrA (36.7%), acc(6')-Ib-cr (5%)], TEM (48.3%), CTX-M (6.7%) and integrons class 1 (58.3%) and class 2 (26.7%). Sequencing analysis revealed bla TEM-1 , bla CTX-M-15 associated with IS Ecp1 and eight different arrays of gene cassettes: aadA1, aadA1-qacH, aadB-aadA2, aadA5, dfrA7, dfrA15, dfrA17, dfrA17-aadA5 . Transferable resistance genes in association with MGEs are present in Nigerian P. mirabilis thus their potential in disseminating resistance.

  7. Prevalence of Resistence to Activated Protein C (Apc-Resistance in Blood Donors in Kosovo

    Directory of Open Access Journals (Sweden)

    Ymer Mekaj

    2009-11-01

    Full Text Available AbstractOne of the most frequent hereditary causes of thrombophilia is, without a doubt, resistance to Activated Protein C (APC-resistance, which is a consequence of point mutation in gene coding for coagulation Factor V (Factor V Leiden in 90-95% of cases.The aim of this paper was to determine prevalence of APC-resistance in a group of healthy blood donors. The size of the group is quite representative of Kosovo Albanians.A total of 944 blood donors were examined (537 males and 407 females, for whom APC-resistance was determined by functional methods of coagulation using the kit ACTICLOT® Protein C Resistance. Method is based on the test of APTT determined twice: first in the presence and second in the absence of activated Protein C (APC. The ratio of these two values constitutes is called Activated Protein C - Sensitivity Ratio (APC-SR.From 944 examined donors, pathologic values of APC-SR (1,3-1,9 were found in 32 persons (3,4% of the total number. The distribution among sexes was 3,35% (18/537 in male and 3,43% (14/407 in female subjects. The mean values of APC-SR (1,64 in male and 1,71 in female subjects were not significantly different (P = 0,22.Based on these results, we conclude that the prevalence of APC resistance in Albanian population of Kosovo is within the lower limit of prevalence in general population in different countries of European countries, which, according to some authors ranges is from 3 to 7%.

  8. Trastuzumab Resistance: Role for Notch Signaling

    Directory of Open Access Journals (Sweden)

    Kinnari Mehta

    2009-01-01

    Full Text Available Epidermal growth factor receptor-2 (ErbB-2/HER2 is a potent breast oncogene that has been shown to be amplified in 20% of breast cancers. Overexpression of ErbB-2 predicts for aggressive tumor behavior, resistance to some cytotoxic and antihormonal therapies, and poor overall survival. Trastuzumab, the humanized, monoclonal antibody directed against ErbB-2 has shown tremendous efficacy and improved overall survival for women when combined with a taxane-based chemotherapy. However, resistance to trastuzumab remains a major concern, most notably in women with metastatic breast cancer. Numerous mechanisms that include overexpression of alternate receptor tyrosine kinases and/or loss of critical tumor suppressors have been proposed in the last several years to elucidate trastuzumab resistance. Here we review the many possible mechanisms of action that could contribute to resistance, and novel therapies to prevent or reverse the resistant phenotype. Moreover, we provide a critical role for Notch signaling cross-talk with overlapping or new signaling networks in trastuzumab-resistant breast.

  9. Performance of μ-RWELL detector vs resistivity of the resistive stage

    Science.gov (United States)

    Bencivenni, G.; De Oliveira, R.; Felici, G.; Gatta, M.; Morello, G.; Ochi, A.; Lener, M. Poli; Tskhadadze, E.

    2018-04-01

    The μ-RWELL is a compact spark-protected single amplification stage Micro-Pattern-Gaseous-Detector (MPGD). The detector amplification stage is realized with a polyimide structure, micro-patterned with a dense matrix of blind-holes, integrated into the readout structure. The anode is formed by a thin Diamond Like Carbon (DLC) resistive layer separated by an insulating glue layer from the readout strips. The introduction of the resistive layer strongly suppressing the transition from streamer to spark gives the possibility to achieve large gains (> 104), without significantly affecting the capability to be efficiently operated in high particle fluxes. In this work we present the results of a systematic study of the μ-RWELL performance as a function of the DLC resistivity. The tests have been performed either with collimated 5.9 keV X-rays or with pion and muon beams at the SPS Secondary Beamline H4 and H8 at CERN.

  10. Bulgecin A as a β-lactam enhancer for carbapenem-resistant Pseudomonas aeruginosa and carbapenem-resistant Acinetobacter baumannii clinical isolates containing various resistance mechanisms.

    Science.gov (United States)

    Skalweit, Marion J; Li, Mei

    2016-01-01

    Genetic screening of Pseudomonas aeruginosa (PSDA) and Acinetobacter baumannii (ACB) reveals genes that confer increased susceptibility to β-lactams when disrupted, suggesting novel drug targets. One such target is lytic transglycosylase. Bulgecin A (BlgA) is a natural product of Pseudomonas mesoacidophila and a lytic transglycosolase inhibitor that works synergistically with β-lactams targeting PBP3 for Enterobacteriaceae. BlgA also weakly inhibits di-Zn 2+ metallo-β-lactamases like L1 of Stenotrophomonas maltophilia . We hypothesized that because of its unique mechanism of action, BlgA could restore susceptibility to carbapenems in carbapenem-resistant PSDA (CR-PSDA) and carbapenem-resistant ACB, as well as ACB resistant to sulbactam. A BlgA-containing extract was prepared using a previously published protocol. CR-PSDA clinical isolates demonstrating a variety of carbapenem resistance mechanisms (VIM-2 carbapenemases, efflux mechanisms, and AmpC producer expression) were characterized with agar dilution minimum inhibitory concentration (MIC) testing and polymerase chain reaction. Growth curves using these strains were prepared using meropenem, BlgA extract, and meropenem plus BlgA extract. A concentrated Blg A extract combined with low concentrations of meropenem, was able to inhibit the growth of clinical strains of CR-PSDA for strains that had meropenem MICs ≥8 mg/L by agar dilution, and a clinical strain of an OXA-24 producing ACB that had a meropenem MIC >32 mg/L and intermediate ampicillin/sulbactam susceptibility. Similar experiments were conducted on a TEM-1 producing ACB strain resistant to sulbactam. BlgA with ampicillin/sulbactam inhibited the growth of this organism. As in Enterobacteriaceae, BlgA appears to restore the efficacy of meropenem in suppressing the growth of CR-PSDA and carbapenem-resistant ACB strains with a variety of common carbapenem resistance mechanisms. BlgA extract also inhibits VIM-2 β-lactamase in vitro. BlgA may prove to be

  11. Fire Resistant, Moisture Barrier Membrane

    Science.gov (United States)

    St.Clair, Terry L. (Inventor)

    2000-01-01

    A waterproof and breathable, fire-resistant laminate is provided for use in tents, garments, shoes, and covers, especially in industrial, military and emergency situations. The laminate permits water vapor evaporation while simultaneously preventing liquid water penetration. Further, the laminate is fire-resistant and significantly reduces the danger of toxic compound production when exposed to flame or other high heat source. The laminate may be applied to a variety of substrates and is comprised of a silicone rubber and plurality of fire-resistant, inherently thermally-stable polyimide particles.

  12. Sulfonamide-resistant bacteria and their resistance genes in soils fertilized with manures from Jiangsu Province, Southeastern China.

    Science.gov (United States)

    Wang, Na; Yang, Xiaohong; Jiao, Shaojun; Zhang, Jun; Ye, Boping; Gao, Shixiang

    2014-01-01

    Antibiotic-resistant bacteria and genes are recognized as new environmental pollutants that warrant special concern. There were few reports on veterinary antibiotic-resistant bacteria and genes in China. This work systematically analyzed the prevalence and distribution of sulfonamide resistance genes in soils from the environments around poultry and livestock farms in Jiangsu Province, Southeastern China. The results showed that the animal manure application made the spread and abundance of antibiotic resistance genes (ARGs) increasingly in the soil. The frequency of sulfonamide resistance genes was sul1 > sul2 > sul3 in pig-manured soil DNA and sul2 > sul1 > sul3 in chicken-manured soil DNA. Further analysis suggested that the frequency distribution of the sul genes in the genomic DNA and plasmids of the SR isolates from manured soil was sul2 > sul1 > sul3 overall (psulfonamide resistance genes. The present study also indicated that Bacillus, Pseudomonas and Shigella were the most prevalent sul-positive genera in the soil, suggesting a potential human health risk. The above results could be important in the evaluation of antibiotic-resistant bacteria and genes from manure as sources of agricultural soil pollution; the results also demonstrate the necessity and urgency of the regulation and supervision of veterinary antibiotics in China.

  13. [State-of-the-art status on airborne antibiotic resistant bacteria and antibiotic resistance genes].

    Science.gov (United States)

    Li, J; Yao, M S

    2018-04-06

    The world is facing more deaths due to increasing antibiotic-resistant bacterial infections and the shortage of new highly effective antibiotics, however the air media as its important transmission route has not been adequately studied. Based on the latest literature acquired in this work, we have discussed the state-of-the-art research progress of the concentration, distribution and spread of antibiotic resistant bacteria (ARB) and antibiotic resistance genes (ARGs) in different environmental air media, and also analyzed some future prevention and control measures. The large use of antibiotics in the medical settings and animal husbandry places has resulted in higher abundances of ARB and ARGs in the relevant and surrounding atmosphere than in urban and general indoor air environments. ARGs can be spread by adhering to airborne particles, and researchers have also found that air media contain more abundant ARGs than other environmental media such as soil, water and sediment. It was suggested in this review that strengthening the monitoring, study on spreading factors and biological toxicity, and also research and development on pathogen accurate diagnosis and new green antibiotic are expected to help effectively monitor, prevent and control of the impacts of airborne resistant bacteria and resistance genes on both human and ecologies.

  14. Mechanism of quinolone action and resistance.

    Science.gov (United States)

    Aldred, Katie J; Kerns, Robert J; Osheroff, Neil

    2014-03-18

    Quinolones are one of the most commonly prescribed classes of antibacterials in the world and are used to treat a variety of bacterial infections in humans. Because of the wide use (and overuse) of these drugs, the number of quinolone-resistant bacterial strains has been growing steadily since the 1990s. As is the case with other antibacterial agents, the rise in quinolone resistance threatens the clinical utility of this important drug class. Quinolones act by converting their targets, gyrase and topoisomerase IV, into toxic enzymes that fragment the bacterial chromosome. This review describes the development of the quinolones as antibacterials, the structure and function of gyrase and topoisomerase IV, and the mechanistic basis for quinolone action against their enzyme targets. It will then discuss the following three mechanisms that decrease the sensitivity of bacterial cells to quinolones. Target-mediated resistance is the most common and clinically significant form of resistance. It is caused by specific mutations in gyrase and topoisomerase IV that weaken interactions between quinolones and these enzymes. Plasmid-mediated resistance results from extrachromosomal elements that encode proteins that disrupt quinolone-enzyme interactions, alter drug metabolism, or increase quinolone efflux. Chromosome-mediated resistance results from the underexpression of porins or the overexpression of cellular efflux pumps, both of which decrease cellular concentrations of quinolones. Finally, this review will discuss recent advancements in our understanding of how quinolones interact with gyrase and topoisomerase IV and how mutations in these enzymes cause resistance. These last findings suggest approaches to designing new drugs that display improved activity against resistant strains.

  15. AXL mediates resistance to cetuximab therapy.

    Science.gov (United States)

    Brand, Toni M; Iida, Mari; Stein, Andrew P; Corrigan, Kelsey L; Braverman, Cara M; Luthar, Neha; Toulany, Mahmoud; Gill, Parkash S; Salgia, Ravi; Kimple, Randall J; Wheeler, Deric L

    2014-09-15

    The EGFR antibody cetuximab is used to treat numerous cancers, but intrinsic and acquired resistance to this agent is a common clinical outcome. In this study, we show that overexpression of the oncogenic receptor tyrosine kinase AXL is sufficient to mediate acquired resistance to cetuximab in models of non-small cell lung cancer (NSCLC) and head and neck squamous cell carcinoma (HNSCC), where AXL was overexpressed, activated, and tightly associated with EGFR expression in cells resistant to cetuximab (Ctx(R) cells). Using RNAi methods and novel AXL-targeting agents, we found that AXL activation stimulated cell proliferation, EGFR activation, and MAPK signaling in Ctx(R) cells. Notably, EGFR directly regulated the expression of AXL mRNA through MAPK signaling and the transcription factor c-Jun in Ctx(R) cells, creating a positive feedback loop that maintained EGFR activation by AXL. Cetuximab-sensitive parental cells were rendered resistant to cetuximab by stable overexpression of AXL or stimulation with EGFR ligands, the latter of which increased AXL activity and association with the EGFR. In tumor xenograft models, the development of resistance following prolonged treatment with cetuximab was associated with AXL hyperactivation and EGFR association. Furthermore, in an examination of patient-derived xenografts established from surgically resected HNSCCs, AXL was overexpressed and activated in tumors that displayed intrinsic resistance to cetuximab. Collectively, our results identify AXL as a key mediator of cetuximab resistance, providing a rationale for clinical evaluation of AXL-targeting drugs to treat cetuximab-resistant cancers. Cancer Res; 74(18); 5152-64. ©2014 AACR. ©2014 American Association for Cancer Research.

  16. Cell shunt resistance and photovoltaic module performance

    Energy Technology Data Exchange (ETDEWEB)

    McMahon, T.J.; Basso, T.S.; Rummel, S.R. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    Shunt resistance of cells in photovoltaic modules can affect module power output and could indicate flawed manufacturing processes and reliability problems. The authors describe a two-terminal diagnostic method to directly measure the shunt resistance of individual cells in a series-connected module non-intrusively, without deencapsulation. Peak power efficiency vs. light intensity was measured on a 12-cell, series-connected, single crystalline module having relatively high cell shunt resistances. The module was remeasured with 0.5-, 1-, and 2-ohm resistors attached across each cell to simulate shunt resistances of several emerging technologies. Peak power efficiencies decreased dramatically at lower light levels. Using the PSpice circuit simulator, the authors verified that cell shunt and series resistances can indeed be responsible for the observed peak power efficiency vs. intensity behavior. The authors discuss the effect of basic cell diode parameters, i.e., shunt resistance, series resistance, and recombination losses, on PV module performance as a function of light intensity.

  17. Galileo's Trajectory with Mild Resistance

    Science.gov (United States)

    Groetsch, C. W.

    2012-01-01

    An aspect of Galileo's classical trajectory that persists in a simple resistance model is noted. The resistive model provides a case study for the classroom analysis of limiting behaviour of an implicitly defined function. (Contains 1 note.)

  18. Resistive Memory Devices for Radiation Resistant Non-Volatile Memory

    Data.gov (United States)

    National Aeronautics and Space Administration — Ionizing radiation in space can damage electronic equipment, corrupting data and even disabling computers. Radiation resistant (rad hard) strategies must be employed...

  19. Resistant starch: promise for improving human health.

    Science.gov (United States)

    Birt, Diane F; Boylston, Terri; Hendrich, Suzanne; Jane, Jay-Lin; Hollis, James; Li, Li; McClelland, John; Moore, Samuel; Phillips, Gregory J; Rowling, Matthew; Schalinske, Kevin; Scott, M Paul; Whitley, Elizabeth M

    2013-11-01

    Ongoing research to develop digestion-resistant starch for human health promotion integrates the disciplines of starch chemistry, agronomy, analytical chemistry, food science, nutrition, pathology, and microbiology. The objectives of this research include identifying components of starch structure that confer digestion resistance, developing novel plants and starches, and modifying foods to incorporate these starches. Furthermore, recent and ongoing studies address the impact of digestion-resistant starches on the prevention and control of chronic human diseases, including diabetes, colon cancer, and obesity. This review provides a transdisciplinary overview of this field, including a description of types of resistant starches; factors in plants that affect digestion resistance; methods for starch analysis; challenges in developing food products with resistant starches; mammalian intestinal and gut bacterial metabolism; potential effects on gut microbiota; and impacts and mechanisms for the prevention and control of colon cancer, diabetes, and obesity. Although this has been an active area of research and considerable progress has been made, many questions regarding how to best use digestion-resistant starches in human diets for disease prevention must be answered before the full potential of resistant starches can be realized.

  20. [Change in drug resistance of Staphylococcus aureus].

    Science.gov (United States)

    Lin, Yan; Liu, Yan; Luo, Yan-Ping; Liu, Chang-Ting

    2013-11-01

    To analyze the change in drug resistance of Staphylococcus aureus (SAU) in the PLA general hospital from January 2008 to December 2012, and to provide solid evidence to support the rational use of antibiotics for clinical applications. The SAU strains isolated from clinical samples in the hospital were collected and subjected to the Kirby-Bauer disk diffusion test. The results were assessed based on the 2002 American National Committee for Clinical Laboratory Standards (NCCLS) guidelines. SAU strains were mainly isolated from sputum, urine, blood and wound excreta and distributed in penology, neurology wards, orthopedics and surgery ICU wards. Except for glycopeptide drugs, methicillin-resistant Staphylococcus aureus (MRSA) had a higher drug resistance rate than those of the other drugs and had significantly more resistance than methicillin-sensitive Staphylococcus aureus (MSSA) (P resistance, we discovered a gradual increase in drug resistance to fourteen test drugs during the last five years. Drug resistance rate of SAU stayed at a higher level over the last five years; moreover, the detection ratio of MRSA keeps rising year by year. It is crucial for physicians to use antibiotics rationally and monitor the change in drug resistance in a dynamic way.

  1. Widespread Pyrethroid and DDT Resistance in the Major Malaria Vector Anopheles funestus in East Africa Is Driven by Metabolic Resistance Mechanisms

    Science.gov (United States)

    Mulamba, Charles; Riveron, Jacob M.; Ibrahim, Sulaiman S.; Irving, Helen; Barnes, Kayla G.; Mukwaya, Louis G.; Birungi, Josephine; Wondji, Charles S.

    2014-01-01

    Background Establishing the extent, geographical distribution and mechanisms of insecticide resistance in malaria vectors is a prerequisite for resistance management. Here, we report a widespread distribution of insecticide resistance in the major malaria vector An. funestus across Uganda and western Kenya under the control of metabolic resistance mechanisms. Methodology/Principal Findings Female An. funestus collected throughout Uganda and western Kenya exhibited a Plasmodium infection rate between 4.2 to 10.4%. Widespread resistance against both type I (permethrin) and II (deltamethrin) pyrethroids and DDT was observed across Uganda and western Kenya. All populations remain highly susceptible to carbamate, organophosphate and dieldrin insecticides. Knockdown resistance plays no role in the pyrethroid and DDT resistance as no kdr mutation associated with resistance was detected despite the presence of a F1021C replacement. Additionally, no signature of selection was observed on the sodium channel gene. Synergist assays and qRT-PCR indicated that metabolic resistance plays a major role notably through elevated expression of cytochrome P450s. DDT resistance mechanisms differ from West Africa as the L119F-GSTe2 mutation only explains a small proportion of the genetic variance to DDT resistance. Conclusion The extensive distribution of pyrethroid and DDT resistance in East African An. funestus populations represents a challenge to the control of this vector. However, the observed carbamate and organophosphate susceptibility offers alternative solutions for resistance management. PMID:25333491

  2. Evaluation of antibiotic resistant bacteria in underground drinking water and transfer of their resistant character to normal flora of the body.

    Science.gov (United States)

    Alam, Mehboob; Khan, Naqab; Rehman, Khurram; Khan, Samiullah; Niazi, Zahid Rasul; Shah, Kifayatullah; Baloch, Natasha; Khan, Barkat Ali

    2018-03-01

    The untreated surface water for drinking and domestic use is an alarming situation to public health especially in prevalence of antibiotics resistant bacteria. This investigation aimed to isolate and identify the antibiotic resistance bacteria in underground water samples in district Dera Ismail Khan, Pakistan. The underground water samples were collected from four different places using hand pumps (Khyber town, riverside, Gomal University and united town). Cultured on nutrient agar media, identified by Gam staining and biochemical tests. There after antibiotic resistance assay were performed by measuring zone of inhibition of different antibiotics by disc diffusion method. Six different bacterial colonies were isolated and identified as Enterobacteriaceae, Serriata specie, Proteues, Pseudomonas, all these bacterial colonies were 33% resistant to chloramphenicol with and 100% resistant to amoxicillin. Some colonies were also considered as resistant, according to the criteria of National Committee for Clinical Records (NCCL) that less than 10mm zone of inhibition are considered as resistant. Subsequently, the chloramphenicol resistance bacteria were analyzed for their ability to transfer resistant gene to sensitive bacteria. In in-vitro method, an isolate M1b (resistant) was found capable to transfer resistance gene to M1a isolate (sensitive) in nutrient rich environment. It was concluded that antibiotics resistance bacteria found in underground water, moreover capable of transferring the antibiotic resistant character to suitable recipient i.e. normal flora of the body or to other pathogens by conjugation.

  3. Molecular epidemiology, antimicrobial susceptibilities and resistance mechanisms of Streptococcus pyogenes isolates resistant to erythromycin and tetracycline in Spain (1994–2006

    Directory of Open Access Journals (Sweden)

    Rubio-López Virginia

    2012-09-01

    Full Text Available Abstract Background Group A Streptococcus (GAS causes human diseases ranging in severity from uncomplicated pharyngitis to life-threatening necrotizing fasciitis and shows high rates of macrolide resistance in several countries. Our goal is to identify antimicrobial resistance in Spanish GAS isolates collected between 1994 and 2006 and to determine the molecular epidemiology (emm/T typing and PFGE and resistance mechanisms of those resistant to erythromycin and tetracycline. Results Two hundred ninety-five out of 898 isolates (32.8% were erythromycin resistant, with the predominance of emm4T4, emm75T25, and emm28T28, accounting the 67.1% of the 21 emm/T types. Spread of emm4T4, emm75T25 and emm28T28 resistant clones caused high rates of macrolide resistance. The distribution of the phenotypes was M (76.9%, cMLSB (20.3%, iMLSB (2.7% with the involvement of the erythromycin resistance genes mef(A (89.5%, msr(D (81.7%, erm(B (37.3% and erm(A (35.9%. Sixty-one isolates were tetracycline resistant, with the main representation of the emm77T28 among 20 emm/T types. To note, the combination of tet(M and tet(O tetracycline resistance genes were similar to tet(M alone reaching values close to 40%. Resistance to both antibiotics was detected in 19 isolates of 7 emm/T types, being emm11T11 and the cMLSB phenotype the most frequent ones. erm(B and tet(M were present in almost all the strains, while erm(A, mef(A, msr(D and tet(O appeared in less than half of them. Conclusions Spanish GAS were highly resistant to macrolides meanwhile showed minor resistance rate to tetracycline. A remarkable correlation between antimicrobial resistance and emm/T type was noticed. Clonal spread of emm4T4, emm75T25 and emm28T28 was the main responsable for macrolide resistance where as that emm77T28 clones were it to tetraclycline resistance. A wide variety of macrolide resistance genes were responsible for three macrolide resistance phenotypes.

  4. Deciphering MCR-2 Colistin Resistance

    Directory of Open Access Journals (Sweden)

    Jian Sun

    2017-05-01

    Full Text Available Antibiotic resistance is a prevalent problem in public health worldwide. In general, the carbapenem β-lactam antibiotics are considered a final resort against lethal infections by multidrug-resistant bacteria. Colistin is a cationic polypeptide antibiotic and acts as the last line of defense for treatment of carbapenem-resistant bacteria. Very recently, a new plasmid-borne colistin resistance gene, mcr-2, was revealed soon after the discovery of the paradigm gene mcr-1, which has disseminated globally. However, the molecular mechanisms for MCR-2 colistin resistance are poorly understood. Here we show a unique transposon unit that facilitates the acquisition and transfer of mcr-2. Evolutionary analyses suggested that both MCR-2 and MCR-1 might be traced to their cousin phosphoethanolamine (PEA lipid A transferase from a known polymyxin producer, Paenibacillus. Transcriptional analyses showed that the level of mcr-2 transcripts is relatively higher than that of mcr-1. Genetic deletions revealed that the transmembrane regions (TM1 and TM2 of both MCR-1 and MCR-2 are critical for their location and function in bacterial periplasm, and domain swapping indicated that the TM2 is more efficient than TM1. Matrix-assisted laser desorption ionization–time of flight mass spectrometry (MALDI-TOF MS confirmed that all four MCR proteins (MCR-1, MCR-2, and two chimeric versions [TM1-MCR-2 and TM2-MCR-1] can catalyze chemical modification of lipid A moiety anchored on lipopolysaccharide (LPS with the addition of phosphoethanolamine to the phosphate group at the 4′ position of the sugar. Structure-guided site-directed mutagenesis defined an essential 6-residue-requiring zinc-binding/catalytic motif for MCR-2 colistin resistance. The results further our mechanistic understanding of transferable colistin resistance, providing clues to improve clinical therapeutics targeting severe infections by MCR-2-containing pathogens.

  5. Two whitebacked planthopper resistance genes in rice share the same loci with those for brown planthopper resistance.

    Science.gov (United States)

    Tan, G X; Weng, Q M; Ren, X; Huang, Z; Zhu, L L; He, G C

    2004-03-01

    The whitebacked planthopper (WBPH), Sogatella furcifera, and brown planthopper (BPH) Nilaparvata lugens Stål are important sucking insects of rice (Oryza sativa L.) crops throughout the world. Rice 'B5', which has derived its resistance genes from the wild rice O. officinalis Wall ex Watt, is a line that is highly resistant to both WBPH and BPH. Previously, two resistance genes against BPH, Qbp1, and Qbp2 in 'B5' had been mapped onto chromosome 3 and chromosome 4, respectively. In this study, we employed a mapping population composed of 187 recombinant inbred lines (RILs), produced from a cross between 'B5' and susceptible variety 'Minghui63', to locate the WBPH and BPH resistance genes. A RFLP survey of the bulked extremes from the RIL population identified two genomic regions, one on chromosome 3 and the other on chromosome 4, likely containing the resistance genes to planthoppers. QTL analysis of the RILs further confirmed that two WBPH resistance genes were mapped on the same loci as Qbp1 and Qbp2, using a linkage map with 242 molecular markers distributed on 12 rice chromosomes. Of the two WBPH resistance genes, one designated Wbph7(t) was located within a 1.1-cM region between R1925 and G1318 on chromosome 3, the other designated Wbph8(t) was within a 0.3-cM region flanked by R288 and S11182 on chromosome 4. A two-way analysis of variance showed that two loci acted independently with each other in determining WBPH resistance. The results have significant implications in studying the interactions between sucking insects and plants and in breeding programs of resistance to rice planthoppers.

  6. Physiological and genetics studies of highly radiation-resistant bacteria

    International Nuclear Information System (INIS)

    Keller, L.C.

    1981-01-01

    The phenomenon of radiation resistance was studied using micrococci and Moraxella-Acinetobacter capable of surviving very high doses of gamma radiation which were isolated from foods. Physiological age, or growth phase, was found to be an important factor in making comparisons of radiation-resistance among different bacteria and their mutants. Radiation-resistant bacteria were highly resistant to the lethal effect of nitrosoguanidine used for mutagenesis. Studies of relative resistance of radiation-resistant bacteria, radiation-sensitive mutants, and nonradiation-resistant bacteria to killing by different chemical mutagens did not reveal a correlation between the traits of radiation resistance and mutagen resistance among different strains. Comparisons of plasmid profiles of radiation-resistant bacteria and selected radiation-sensitive mutants suggested the possibility that plasmids may carry genes involved in radiation resistance

  7. Natural disease resistance in threatened staghorn corals.

    Directory of Open Access Journals (Sweden)

    Steven V Vollmer

    Full Text Available Disease epidemics have caused extensive damage to tropical coral reefs and to the reef-building corals themselves, yet nothing is known about the abilities of the coral host to resist disease infection. Understanding the potential for natural disease resistance in corals is critically important, especially in the Caribbean where the two ecologically dominant shallow-water corals, Acropora cervicornis and A. palmata, have suffered an unprecedented mass die-off due to White Band Disease (WBD, and are now listed as threatened under the US Threatened Species Act and as critically endangered under the IUCN Red List criteria. Here we examine the potential for natural resistance to WBD in the staghorn coral Acropora cervicornis by combining microsatellite genotype information with in situ transmission assays and field monitoring of WBD on tagged genotypes. We show that six percent of staghorn coral genotypes (3 out of 49 are resistant to WBD. This natural resistance to WBD in staghorn corals represents the first evidence of host disease resistance in scleractinian corals and demonstrates that staghorn corals have an innate ability to resist WBD infection. These resistant staghorn coral genotypes may explain why pockets of Acropora have been able to survive the WBD epidemic. Understanding disease resistance in these corals may be the critical link to restoring populations of these once dominant corals throughout their range.

  8. Photo-stimulated resistive switching of ZnO nanorods

    International Nuclear Information System (INIS)

    Park, Jinjoo; Lee, Seunghyup; Yong, Kijung

    2012-01-01

    Resistive switching memory devices are promising candidates for emerging memory technologies because they yield outstanding device performance. Storage mechanisms for achieving high-density memory applications have been developed; however, so far many of them exhibit typical resistive switching behavior from the limited controlling conditions. In this study, we introduce photons as an unconventional stimulus for activating resistive switching behaviors. First, we compare the resistive switching behavior in light and dark conditions to describe how resistive switching memories can benefit from photons. Second, we drive the switching of resistance not by the electrical stimulus but only by the modulation of photon. ZnO nanorods were employed as a model system to demonstrate photo-stimulated resistive switching in high-surface-area nanomaterials, in which photo-driven surface states strongly affect their photoconductivity and resistance states. (paper)

  9. An innovation resistance factor model

    Directory of Open Access Journals (Sweden)

    Siti Salwa Mohd Ishak

    2016-09-01

    Full Text Available The process and implementation strategy of information technology in construction is generally considered through the limiting prism of theoretical contexts generated from innovation diffusion and acceptance. This research argues that more attention should be given to understanding the positive effects of resistance. The study develops a theoretical framing for the Integrated Resistance Factor Model (IRFM. The framing uses a combination of diffusion of innovation theory, technology acceptance model and social network perspective. The model is tested to identify the most significant resistance factors using Partial Least Square (PLS technique. All constructs proposed in the model are found to be significant, valid and consistent with the theoretical framework. IRFM is shown to be an effective and appropriate model of user resistance factors. The most critical factors to influence technology resistance in the online project information management system (OPIMS context are: support from leaders and peers, complexity of the technology, compatibility with key work practices; and pre-trial of the technology before it is actually deployed. The study provides a new model for further research in technology innovation specific to the construction industry.

  10. Insecticide resistance and intracellular proteases.

    Science.gov (United States)

    Wilkins, Richard M

    2017-12-01

    Pesticide resistance is an example of evolution in action with mechanisms of resistance arising from mutations or increased expression of intrinsic genes. Intracellular proteases have a key role in maintaining healthy cells and in responding to stressors such as pesticides. Insecticide-resistant insects have constitutively elevated intracellular protease activity compared to corresponding susceptible strains. This increase was shown for some cases originally through biochemical enzyme studies and subsequently putatively by transcriptomics and proteomics methods. Upregulation and expression of proteases have been characterised in resistant strains of some insect species, including mosquitoes. This increase in proteolysis results in more degradation products (amino acids) of intracellular proteins. These may be utilised in the resistant strain to better protect the cell from stress. There are changes in insect intracellular proteases shortly after insecticide exposure, suggesting a role in stress response. The use of protease and proteasome inhibitors or peptide mimetics as synergists with improved application techniques and through protease gene knockdown using RNA interference (possibly expressed in crop plants) may be potential pest management strategies, in situations where elevated intracellular proteases are relevant. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  11. Environmental and Public Health Implications of Water Reuse: Antibiotics, Antibiotic Resistant Bacteria, and Antibiotic Resistance Genes

    Science.gov (United States)

    Hong, Pei-Ying; Al-Jassim, Nada; Ansari, Mohd Ikram; Mackie, Roderick I.

    2013-01-01

    Water scarcity is a global problem, and is particularly acute in certain regions like Africa, the Middle East, as well as the western states of America. A breakdown on water usage revealed that 70% of freshwater supplies are used for agricultural irrigation. The use of reclaimed water as an alternative water source for agricultural irrigation would greatly alleviate the demand on freshwater sources. This paradigm shift is gaining momentum in several water scarce countries like Saudi Arabia. However, microbial problems associated with reclaimed water may hinder the use of reclaimed water for agricultural irrigation. Of particular concern is that the occurrence of antibiotic residues in the reclaimed water can select for antibiotic resistance genes among the microbial community. Antibiotic resistance genes can be associated with mobile genetic elements, which in turn allow a promiscuous transfer of resistance traits from one bacterium to another. Together with the pathogens that are present in the reclaimed water, antibiotic resistant bacteria can potentially exchange mobile genetic elements to create the “perfect microbial storm”. Given the significance of this issue, a deeper understanding of the occurrence of antibiotics in reclaimed water, and their potential influence on the selection of resistant microorganisms would be essential. In this review paper, we collated literature over the past two decades to determine the occurrence of antibiotics in municipal wastewater and livestock manure. We then discuss how these antibiotic resistant bacteria may impose a potential microbial risk to the environment and public health, and the knowledge gaps that would have to be addressed in future studies. Overall, the collation of the literature in wastewater treatment and agriculture serves to frame and identify potential concerns with respect to antibiotics, antibiotic resistant bacteria, and antibiotic resistance genes in reclaimed water. PMID:27029309

  12. Environmental and Public Health Implications of Water Reuse: Antibiotics, Antibiotic Resistant Bacteria, and Antibiotic Resistance Genes

    Directory of Open Access Journals (Sweden)

    Roderick I. Mackie

    2013-07-01

    Full Text Available Water scarcity is a global problem, and is particularly acute in certain regions like Africa, the Middle East, as well as the western states of America. A breakdown on water usage revealed that 70% of freshwater supplies are used for agricultural irrigation. The use of reclaimed water as an alternative water source for agricultural irrigation would greatly alleviate the demand on freshwater sources. This paradigm shift is gaining momentum in several water scarce countries like Saudi Arabia. However, microbial problems associated with reclaimed water may hinder the use of reclaimed water for agricultural irrigation. Of particular concern is that the occurrence of antibiotic residues in the reclaimed water can select for antibiotic resistance genes among the microbial community. Antibiotic resistance genes can be associated with mobile genetic elements, which in turn allow a promiscuous transfer of resistance traits from one bacterium to another. Together with the pathogens that are present in the reclaimed water, antibiotic resistant bacteria can potentially exchange mobile genetic elements to create the “perfect microbial storm”. Given the significance of this issue, a deeper understanding of the occurrence of antibiotics in reclaimed water, and their potential influence on the selection of resistant microorganisms would be essential. In this review paper, we collated literature over the past two decades to determine the occurrence of antibiotics in municipal wastewater and livestock manure. We then discuss how these antibiotic resistant bacteria may impose a potential microbial risk to the environment and public health, and the knowledge gaps that would have to be addressed in future studies. Overall, the collation of the literature in wastewater treatment and agriculture serves to frame and identify potential concerns with respect to antibiotics, antibiotic resistant bacteria, and antibiotic resistance genes in reclaimed water.

  13. Environmental and Public Health Implications of Water Reuse: Antibiotics, Antibiotic Resistant Bacteria, and Antibiotic Resistance Genes

    KAUST Repository

    Hong, Pei-Ying; Aljassim, Nada I.; Ansari, Mohd Ikram; Mackie, Roderick

    2013-01-01

    Water scarcity is a global problem, and is particularly acute in certain regions like Africa, the Middle East, as well as the western states of America. A breakdown on water usage revealed that 70% of freshwater supplies are used for agricultural irrigation. The use of reclaimed water as an alternative water source for agricultural irrigation would greatly alleviate the demand on freshwater sources. This paradigm shift is gaining momentum in several water scarce countries like Saudi Arabia. However, microbial problems associated with reclaimed water may hinder the use of reclaimed water for agricultural irrigation. Of particular concern is that the occurrence of antibiotic residues in the reclaimed water can select for antibiotic resistance genes among the microbial community. Antibiotic resistance genes can be associated with mobile genetic elements, which in turn allow a promiscuous transfer of resistance traits from one bacterium to another. Together with the pathogens that are present in the reclaimed water, antibiotic resistant bacteria can potentially exchange mobile genetic elements to create the “perfect microbial storm”. Given the significance of this issue, a deeper understanding of the occurrence of antibiotics in reclaimed water, and their potential influence on the selection of resistant microorganisms would be essential. In this review paper, we collated literature over the past two decades to determine the occurrence of antibiotics in municipal wastewater and livestock manure. We then discuss how these antibiotic resistant bacteria may impose a potential microbial risk to the environment and public health, and the knowledge gaps that would have to be addressed in future studies. Overall, the collation of the literature in wastewater treatment and agriculture serves to frame and identify potential concerns with respect to antibiotics, antibiotic resistant bacteria, and antibiotic resistance genes in reclaimed water.

  14. Environmental and Public Health Implications of Water Reuse: Antibiotics, Antibiotic Resistant Bacteria, and Antibiotic Resistance Genes

    KAUST Repository

    Hong, Pei-Ying

    2013-07-31

    Water scarcity is a global problem, and is particularly acute in certain regions like Africa, the Middle East, as well as the western states of America. A breakdown on water usage revealed that 70% of freshwater supplies are used for agricultural irrigation. The use of reclaimed water as an alternative water source for agricultural irrigation would greatly alleviate the demand on freshwater sources. This paradigm shift is gaining momentum in several water scarce countries like Saudi Arabia. However, microbial problems associated with reclaimed water may hinder the use of reclaimed water for agricultural irrigation. Of particular concern is that the occurrence of antibiotic residues in the reclaimed water can select for antibiotic resistance genes among the microbial community. Antibiotic resistance genes can be associated with mobile genetic elements, which in turn allow a promiscuous transfer of resistance traits from one bacterium to another. Together with the pathogens that are present in the reclaimed water, antibiotic resistant bacteria can potentially exchange mobile genetic elements to create the “perfect microbial storm”. Given the significance of this issue, a deeper understanding of the occurrence of antibiotics in reclaimed water, and their potential influence on the selection of resistant microorganisms would be essential. In this review paper, we collated literature over the past two decades to determine the occurrence of antibiotics in municipal wastewater and livestock manure. We then discuss how these antibiotic resistant bacteria may impose a potential microbial risk to the environment and public health, and the knowledge gaps that would have to be addressed in future studies. Overall, the collation of the literature in wastewater treatment and agriculture serves to frame and identify potential concerns with respect to antibiotics, antibiotic resistant bacteria, and antibiotic resistance genes in reclaimed water.

  15. Efflux Pump-mediated Drug Resistance in Burkholderia

    Directory of Open Access Journals (Sweden)

    Nicole L Podnecky

    2015-04-01

    Full Text Available Several members of the genus Burkholderia are prominent pathogens. Infections caused by these bacteria are difficult to treat because of significant antibiotic resistance. Virtually all Burkholderia species are also resistant to polymyxin, prohibiting use of drugs like colistin that are available for treatment of infections caused by most other drug resistant Gram-negative bacteria. Despite clinical significance and antibiotic resistance of Burkholderia species, characterization of efflux pumps lags behind other non-enteric Gram-negative pathogens such as Acinetobacter baumannii and Pseudomonas aeruginosa. Although efflux pumps have been described in several Burkholderia species, they have been best studied in B. cenocepacia and B. pseudomallei. As in other non-enteric Gram-negatives, efflux pumps of the resistance nodulation cell division (RND family are the clinically most significant efflux systems in these two species. Several efflux pumps were described in B. cenocepacia, which when expressed confer resistance to clinically significant antibiotics, including aminoglycosides, chloramphenicol, fluoroquinolones, and tetracyclines. Three RND pumps have been characterized in B. pseudomallei, two of which confer either intrinsic or acquired resistance to aminoglycosides, macrolides, chloramphenicol, fluoroquinolones, tetracyclines, trimethoprim, and in some instances trimethoprim+sulfamethoxazole. Several strains of the host-adapted B. mallei, a clone of B. pseudomallei, lack AmrAB-OprA and are therefore aminoglycoside and macrolide susceptible. B. thailandensis is closely related to B. pseudomallei, but non-pathogenic to humans. Its pump repertoire and ensuing drug resistance profile parallels that of B. pseudomallei. An efflux pump in B. vietnamiensis plays a significant role in acquired aminoglycoside resistance. Summarily, efflux pumps are significant players in Burkholderia drug resistance.

  16. Occurrence of antibiotic resistance and characterization of resistant genes and integrons in Enterobacteriaceae isolated from integrated fish farms south China

    Science.gov (United States)

    Su, Hao-Chang; Ying, Guang-Guo; Tao, Ran; Zhang, Rui-Quan; Fogarty, Lisa R.; Kolpin, Dana W.

    2011-01-01

    Antibiotics are still widely applied in animal husbandry to prevent diseases and used as feed additives to promote animal growth. This could result in antibiotic resistance to bacteria and antibiotic residues in animals. In this paper, Enterobacteriaceae isolated from four integrated fish farms in Zhongshan, South China were tested for antibiotic resistance, tetracycline resistance genes, sulfonamide resistance genes, and class 1 integrons. The Kirby-Bauer disk diffusion method and polymerase chain reaction (PCR) assays were carried out to test antibiotic susceptibility and resistance genes, respectively. Relatively high antibiotic resistance frequencies were found, especially for ampicillin (80%), tetracycline (52%), and trimethoprim (50%). Out of 203 Enterobacteriaceae isolates, 98.5% were resistant to one or more antibiotics tested. Multiple antibiotic resistance (MAR) was found highest in animal manures with a MAR index of 0.56. Tetracycline resistance genes (tet(A), tet(C)) and sulfonamide resistance genes (sul2) were detected in more than 50% of the isolates. The intI1 gene was found in 170 isolates (83.7%). Both classic and non-classic class 1 integrons were found. Four genes, aadA5, aadA22, dfr2, and dfrA17, were detected. To our knowledge, this is the first report for molecular characterization of antibiotic resistance genes in Enterobacteriaceae isolated from integrated fish farms in China and the first time that gene cassette array dfrA17-aadA5 has been detected in such fish farms. Results of this study indicated that fish farms may be a reservoir of highly diverse and abundant antibiotic resistant genes and gene cassettes. Integrons may play a key role in multiple antibiotic resistances posing potential health risks to the general public and aquaculture.

  17. High corrosion-resistant fuel spacers

    International Nuclear Information System (INIS)

    Yoshida, Toshimi; Takase, Iwao; Ikeda, Shinzo; Masaoka, Isao; Nakajima, Junjiro.

    1986-01-01

    Purpose: To enable manufacturing BWR fuel spacers by prior-art production process, using a zirconium-base alloy having very excellent corrosion resistance. Method: A highly improved nodular-resistant, corrosion-resistant zirconium alloy is devised by adding a slight amount of niobium, titanium and vanadium to zircaloy, of which fuel spacers are produced. That is, there can be obtained an alloy having much more excellent nodular resistance than conventional zircaloy, and free from a large change in plasticity, workability, and weldability, by adding to zirconium about 1.5 % of tin, about 0.15 % of iron, about 0.05 % of chromium, about 0.05 % of nickel, and 0.05 to 0.5 % of at least one or two kinds of niobium, titanium and vanadium. Using this zirconium-base alloy can manufacture fuel spacers by the same manufacturing process, thus improving economy and reliability. (Kamimura, M.)

  18. Influence of expandable graphite on fire resistance and water resistance of flame-retardant coatings

    International Nuclear Information System (INIS)

    Wang, Zhenyu; Han, Enhou; Ke, Wei

    2007-01-01

    Expandable graphite (EG) coating and ammonium polyphosphate-pentaerythritol-melamine (APP-PER-MEL) coating were prepared. Thermal degradation and char formation of the coatings were investigated by differential thermal analysis (DTA), thermogravimetry (TG), X-ray diffraction (XRD), scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). The results have shown that the anti-oxidation and fire-resistant properties of expandable graphite coating containing EG with size of 74 μm are better than those of APP-PER-MEL coating. The static immersion test was applied to study water resistance of the coatings, and the fire protection test and mechanical test were used to analyse heat insulation and mechanical properties of coatings before and after water immersion. The fire-resistant and mechanical properties of APP-PER-MEL coating were severely damaged by water immersion, whereas EG coating containing 8.5% EG with size of 74 μm could retain the good fire resistance even after 500 h water immersion

  19. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    Science.gov (United States)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  20. Correlations between Income inequality and antimicrobial resistance.

    Science.gov (United States)

    Kirby, Andrew; Herbert, Annie

    2013-01-01

    The aim of this study is to investigate if correlations exist between income inequality and antimicrobial resistance. This study's hypothesis is that income inequality at the national level is positively correlated with antimicrobial resistance within developed countries. Income inequality data were obtained from the Standardized World Income Inequality Database. Antimicrobial resistance data were obtained from the European antimicrobial Resistance Surveillance Network and outpatient antimicrobial consumption data, measured by Defined daily Doses per 1000 inhabitants per day, from the European Surveillance of antimicrobial Consumption group. Spearman's correlation coefficient (r) defined strengths of correlations of: > 0.8 as strong, > 0.5 as moderate and > 0.2 as weak. Confidence intervals and p values were defined for all r values. Correlations were calculated for the time period 2003-10, for 15 European countries. Income inequality and antimicrobial resistance correlations which were moderate or strong, with 95% confidence intervals > 0, included the following. Enterococcus faecalis resistance to aminopenicillins, vancomycin and high level gentamicin was moderately associated with income inequality (r= ≥0.54 for all three antimicrobials). Escherichia coli resistance to aminoglycosides, aminopenicillins, third generation cephalosporins and fluoroquinolones was moderately-strongly associated with income inequality (r= ≥0.7 for all four antimicrobials). Klebsiella pneumoniae resistance to third generation cephalosporins, aminoglycosides and fluoroquinolones was moderately associated with income inequality (r= ≥0.5 for all three antimicrobials). Staphylococcus aureus methicillin resistance and income inequality were strongly associated (r=0.87). As income inequality increases in European countries so do the rates of antimicrobial resistance for bacteria including E. faecalis, E. coli, K. pneumoniae and S. aureus. Further studies are needed to confirm these

  1. Antimicrobial resistance in Libya: 1970–2011

    Directory of Open Access Journals (Sweden)

    Khalifa Sifaw Ghenghesh

    2013-03-01

    Full Text Available Resistance to antimicrobial agents is a major health problem that affects the whole world. Providing information on the past state of antimicrobial resistance in Libya may assist the health authorities in addressing the problem more effectively in the future. Information was obtained mainly from Highwire Press (including PubMed search for the period 1970–2011 using the terms ‘antibiotic resistance in Libya’, ‘antimicrobial resistance in Libya’, ‘tuberculosis in Libya’, and ‘primary and acquired resistance in Libya’ in title and abstract. From 1970 to 2011 little data was available on antimicrobial resistance in Libya due to lack of surveillance and few published studies. Available data shows high resistance rates for Salmonella species in the late 1970s and has remained high to the present day. High prevalence rates (54–68% of methicillin-resistant Staphylococcus aureus (MRSA were reported in the last decade among S. aureus from patients with burns and surgical wound infections. No reports were found of vancomycin-resistant S. aureus (VRSA or vancomycin-intermediate-resistant S. aureus (VISA using standard methods from Libya up to the end of 2011. Reported rates of primary (i.e. new cases and acquired (i.e. retreatment cases multidrug-resistant tuberculosis (MDR-TB from the eastern region of Libya in 1971 were 16.6 and 33.3% and in 1976 were 8.6 and 14.7%, in western regions in 1984–1986 were 11 and 21.5% and in the whole country in 2011 were estimated at 3.4 and 29%, respectively. The problem of antibiotic resistance is very serious in Libya. The health authorities in particular and society in general should address this problem urgently. Establishing monitoring systems based on the routine testing of antimicrobial sensitivity and education of healthcare workers, pharmacists, and the community on the health risks associated with the problem and benefits of prudent use of antimicrobials are some steps that can be taken to

  2. Inducible Clindamycin Resistance in Staphylococcus Species

    International Nuclear Information System (INIS)

    Afridi, F. I.; Zeb, M.; Farooqi, B. J.; Murtaza, G.; Hussain, A.

    2014-01-01

    Objective: To determine the frequency of inducible clindamycin resistance in clinical isolates of Staphylococcus species by phenotypic D-test. Study Design: Observational study. Place and Duration of Study: Ziauddin University Hospital, Karachi, from July to December 2011. Methodology: Consecutive clinical isolates of Staphylococcus species were collected and identified by conventional microbiological techniques. Antimicrobial susceptibility testing and inducible clindamycin resistance was carried out by performing D-test using CLSI criteria. Methicillin resistance was detected by using Cefoxitin disk as a surrogate marker. Statistical analysis was performed by SPSS version-17. Results: A total of 667 clinical isolates of Staphylococcus species were obtained during the study period. In these isolates, 177 (26.5%) were Staphylococcus aureus, and 490 (73.5%) were coagulase negative Staphylococci. The total frequency of inducible clindamycin resistance among isolates of Staphylococcus species was 120/667 (18%). Frequency of inducible clindamycin resistance among coagulase negative Staphylococci group and Staphylococcus aureus group were 18.57% and 16.38% respectively. Median age of patients in D-test positive group was 19.5 (1 - 54) years. Conclusion: The frequency of inducible clindamycin resistance among Staphylococcus species may differ in different hospital setup. Clinical microbiology laboratories should implement testing simple and effective D-test on all Staphylococcus species. D-test positive isolates should be reported clindamycin resistant to decrease treatment failure. (author)

  3. Probiotic approach to prevent antibiotic resistance.

    Science.gov (United States)

    Ouwehand, Arthur C; Forssten, Sofia; Hibberd, Ashley A; Lyra, Anna; Stahl, Buffy

    2016-01-01

    Probiotics are live microorganisms, mainly belonging to the genera Lactobacillus and Bifidobacterium, although also strain of other species are commercialized, that have a beneficial effect on the host. From the perspective of antibiotic use, probiotics have been observed to reduce the risk of certain infectious disease such as certain types of diarrhea and respiratory tract infection. This may be accompanied with a reduced need of antibiotics for secondary infections. Antibiotics tend to be effective against most common diseases, but increasingly resistance is being observed among pathogens. Probiotics are specifically selected to not contribute to the spread of antibiotic resistance and not carry transferable antibiotic resistance. Concomitant use of probiotics with antibiotics has been observed to reduce the incidence, duration and/or severity of antibiotic-associated diarrhea. This contributes to better adherence to the antibiotic prescription and thereby reduces the evolution of resistance. To what extent probiotics directly reduce the spread of antibiotic resistance is still much under investigation; but maintaining a balanced microbiota during antibiotic use may certainly provide opportunities for reducing the spread of resistances. Key messages Probiotics may reduce the risk for certain infectious diseases and thereby reduce the need for antibiotics. Probiotics may reduce the risk for antibiotic-associated diarrhea Probiotics do not contribute to the spread of antibiotic resistance and may even reduce it.

  4. Electrical Resistivity Measurements: a Review

    Science.gov (United States)

    Singh, Yadunath

    World-wide interest on the use of ceramic materials for aerospace and other advanced engineering applications, has led to the need for inspection techniques capable of detecting unusually electrical and thermal anomalies in these compounds. Modern ceramic materials offer many attractive physical, electrical and mechanical properties for a wide and rapidly growing range of industrial applications; moreover specific use may be made of their electrical resistance, chemical resistance, and thermal barrier properties. In this review, we report the development and various techniques for the resistivity measurement of solid kind of samples.

  5. Antibiotic and Antimicrobial Resistance: Threat Report 2013

    Science.gov (United States)

    ... Form Controls Cancel Submit Search The CDC Antibiotic / Antimicrobial Resistance Note: Javascript is disabled or is not ... please visit this page: About CDC.gov . Antibiotic / Antimicrobial Resistance About Antimicrobial Resistance Biggest Threats Emerging Drug ...

  6. Negative cross resistance in atrazine resistant junglerice (Echinochloa colona (L. Link populations in sugarcane (Saccharum officinarum L. fields

    Directory of Open Access Journals (Sweden)

    Elham Elahifard

    2018-01-01

    Full Text Available Introduction Negative and positive cross-resistance to other herbicides have been found in triazine-resistant biotypes. Thus, negative cross-resistance has been reported to bentazone for Brassica napus L. and A. retroflexus L., and to pyridate for B. napus and Epilobium ciliatum Raf.. In contrast, positive cross-resistance to bentazone has been found in Chenopodium album L. and Solanum nigrum L.. Negative cross-resistance, i.e., herbicide-resistant plants being more sensitive to herbicides than susceptible plants, has been documented in several triazine-resistant weed biotypes. The goal of this study was to search for herbicides that exert negative cross-resistance at the whole-plant level for control of atrazine-resistant populations of E. colona. Materials and Methods Suspected resistant and susceptible seeds of junglerice to atrazine were collected from sugarcane fields and adjacent areas of Karun Agro-Industry Inc., Shushtar, southwestern of Iran in 2014 - 2015 growing season. These populations were named according to their population status and abbreviated as follows: R1, R2, R3 and S (susceptible population. All the collected seeds (R- and S-populations were stored at room temperature (25 oC. Herbicides were tebuthiuron, linuron, diuron and diuron + hexazinone. For the PRE application, 10 seeds were planted in 500-ml plastic pots containing clay:sand mix, and herbicides were applied using an flood nozzle and back sprayer calibrated to deliver 350 L ha-1 to the suspected resistant and susceptible junglerice biotypes 1 d after sowing. Plants were thinned to 4 plants per pot. The aboveground biomass was harvested 28 DAT, dried at 75 °C for 48 h, and weighed. The aboveground biomass data were expressed as a percentage of the untreated control. The experiment was a completely randomized block design with four replications and was repeated. The data were analyzed using a nonlinear regression model and R software (drc add on packeges, the three

  7. Exploring Post-Treatment Reversion of Antimicrobial Resistance in Enteric Bacteria of Food Animals as a Resistance Mitigation Strategy.

    Science.gov (United States)

    Volkova, Victoriya V; KuKanich, Butch; Riviere, Jim E

    2016-11-01

    Antimicrobial drug use in food animals is associated with an elevation in relative abundance of bacteria resistant to the drug among the animal enteric bacteria. Some of these bacteria are potential foodborne pathogens. Evidence suggests that at least in the enteric nontype-specific Escherichia coli, after treatment the resistance abundance reverts to the background pre-treatment levels, without further interventions. We hypothesize that it is possible to define the distribution of the time period after treatment within which resistance to the administered drug, and possibly other drugs in case of coselection, in fecal bacteria of the treated animals returns to the background pre-treatment levels. Furthermore, it is possible that a novel resistance mitigation strategy for microbiological food safety could be developed based on this resistance reversion phenomenon. The strategy would be conceptually similar to existing antimicrobial drug withdrawal periods, which is a well-established and accepted mitigation strategy for avoiding violative drug residues in the edible products from the treated animals. For developing resistance-relevant withdrawals, a mathematical framework can be used to join the necessary pharmacological, microbiological, and animal production components to project the distributions of the post-treatment resistance reversion periods in the production animal populations for major antimicrobial drug classes in use. The framework can also help guide design of empirical studies into the resistance-relevant withdrawal periods and development of mitigation approaches to reduce the treatment-associated elevation of resistance in animal enteric bacteria. We outline this framework, schematically and through exemplar equations, and how its components could be formulated.

  8. Impact of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in children in a low resistance prevalence setting

    Science.gov (United States)

    Brandtzaeg, Petter; Høiby, E. Arne; Bohlin, Jon; Samuelsen, Ørjan; Steinbakk, Martin; Abrahamsen, Tore G.; Müller, Fredrik; Gammelsrud, Karianne Wiger

    2017-01-01

    We prospectively studied the consequences of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in a cohort of children with cystic fibrosis (CF) and a cohort of children with cancer compared to healthy children with no or low antibiotic exposure. The study was conducted in Norway in a low resistance prevalence setting. Sixty longitudinally collected faecal samples from children with CF (n = 32), 88 samples from children with cancer (n = 45) and 127 samples from healthy children (n = 70) were examined. A direct MIC-gradient strip method was used to detect resistant Enterobacteriaceae by applying Etest strips directly onto agar-plates swabbed with faecal samples. Whole genome sequencing (WGS) data were analysed to identify resistance mechanisms in 28 multidrug-resistant Escherichia coli isolates. The prevalence of resistance to third-generation cephalosporins, gentamicin and ciprofloxacin was low in all the study groups. At inclusion the prevalence of ampicillin-resistant E. coli and trimethoprim-sulfamethoxazole-resistant E. coli in the CF group compared to healthy controls was 58.6% vs. 28.4% (p = 0.005) and 48.3% vs. 14.9% (p = 0.001), respectively, with a similar prevalence at the end of the study. The prevalence of resistant enterobacteria was not significantly different in the children with cancer compared to the healthy children, not even at the end of the study when the children with cancer had been treated with repeated courses of broad-spectrum antibiotics. Children with cancer were mainly treated with intravenous antibiotics, while the CF group mainly received peroral treatment. Our observations indicate that the mode of administration of antibiotics and the general level of antimicrobial resistance in the community may have an impact on emergence of resistance in intestinal enterobacteria during antibiotic treatment. The WGS analyses detected acquired resistance genes and/or chromosomal mutations that explained the

  9. Associations between depressive symptoms and insulin resistance

    DEFF Research Database (Denmark)

    Adriaanse, M C; Dekker, J M; Nijpels, G

    2006-01-01

    AIMS/HYPOTHESIS: The association between depression and insulin resistance has been investigated in only a few studies, with contradictory results reported. The aim of this study was to determine whether the association between symptoms of depression and insulin resistance varies across glucose...... established type 2 diabetes mellitus. Main outcome measures were insulin resistance defined by the homeostasis model assessment for insulin resistance (HOMA-IR) and symptoms of depression using the Centre for Epidemiologic Studies Depression Scale (CES-D). RESULTS: In the total sample, we found a weak.......942). The association between depressive symptoms and insulin resistance was similar for men and women. CONCLUSIONS/INTERPRETATION: We found only weak associations between depressive symptoms and insulin resistance, which did not differ among different glucose metabolism subgroups or between men and women....

  10. Quinolone Resistance Reversion by Targeting the SOS Response.

    Science.gov (United States)

    Recacha, E; Machuca, J; Díaz de Alba, P; Ramos-Güelfo, M; Docobo-Pérez, F; Rodriguez-Beltrán, J; Blázquez, J; Pascual, A; Rodríguez-Martínez, J M

    2017-10-10

    Suppression of the SOS response has been postulated as a therapeutic strategy for potentiating antimicrobial agents. We aimed to evaluate the impact of its suppression on reversing resistance using a model of isogenic strains of Escherichia coli representing multiple levels of quinolone resistance. E. coli mutants exhibiting a spectrum of SOS activity were constructed from isogenic strains carrying quinolone resistance mechanisms with susceptible and resistant phenotypes. Changes in susceptibility were evaluated by static (MICs) and dynamic (killing curves or flow cytometry) methodologies. A peritoneal sepsis murine model was used to evaluate in vivo impact. Suppression of the SOS response was capable of resensitizing mutant strains with genes encoding three or four different resistance mechanisms (up to 15-fold reductions in MICs). Killing curve assays showed a clear disadvantage for survival (Δlog 10 CFU per milliliter [CFU/ml] of 8 log units after 24 h), and the in vivo efficacy of ciprofloxacin was significantly enhanced (Δlog 10 CFU/g of 1.76 log units) in resistant strains with a suppressed SOS response. This effect was evident even after short periods (60 min) of exposure. Suppression of the SOS response reverses antimicrobial resistance across a range of E. coli phenotypes from reduced susceptibility to highly resistant, playing a significant role in increasing the in vivo efficacy. IMPORTANCE The rapid rise of antibiotic resistance in bacterial pathogens is now considered a major global health crisis. New strategies are needed to block the development of resistance and to extend the life of antibiotics. The SOS response is a promising target for developing therapeutics to reduce the acquisition of antibiotic resistance and enhance the bactericidal activity of antimicrobial agents such as quinolones. Significant questions remain regarding its impact as a strategy for the reversion or resensitization of antibiotic-resistant bacteria. To address this

  11. Helping Teens Resist Sexual Pressure

    Science.gov (United States)

    ... Listen Español Text Size Email Print Share Helping Teens Resist Sexual Pressure Page Content Article Body Teens are more ... younger the first time they had intercourse. Helping Teens Resist Sexual Pressure “The pressure on teenagers to have sex ...

  12. Autolysis of methicillin-resistant and -susceptible Staphylococcus aureus.

    Science.gov (United States)

    Gustafson, J E; Berger-Bächi, B; Strässle, A; Wilkinson, B J

    1992-01-01

    The autolytic activities, including unstimulated, Triton X-100-stimulated, and daptomycin-induced, of various sets of methicillin-resistant and related methicillin-susceptible strains were compared. Faster rates of autolysis were noted in two heterogeneous methicillin-resistant transductants than in their methicillin-susceptible parental recipients, in a heterogeneous resistant strain than in a susceptible derivative created by chemical mutagenesis, and in a homogeneous resistant strain than in a derivative that had decreased methicillin resistance and was created by transposon Tn551 mutagenesis. These results suggest that the presence of the methicillin resistance region, mec, either directly or indirectly through an interaction with other host genes, confers a faster rate of autolysis on strains. Various auxilliary genes are known to affect methicillin resistance expression, and one of these genes, femA, was necessary for the expression of this faster rate of autolysis. These differences in autolytic activities were not observed in isolated crude cell walls retaining autolytic activities, suggesting different modes of regulation of autolysins in intact cells and isolated walls. In contrast, one homogeneous, highly resistant strain, DU4916, had a lower autolytic activity than did derived heterogeneous resistant and susceptible strains created by chemical mutagenesis and a strain that had decreased resistance and was created by transposon mutagenesis. Our observations suggest that methicillin resistance expression is associated with an enhanced rate of autolysis, in heterogeneous resistant strains at least. Images PMID:1320363

  13. Characterization of Cefotaxime- and Ciprofloxacin-Resistant Commensal Escherichia coli Originating from Belgian Farm Animals Indicates High Antibiotic Resistance Transfer Rates.

    Science.gov (United States)

    Lambrecht, Ellen; Van Meervenne, Eva; Boon, Nico; Van de Wiele, Tom; Wattiau, Pierre; Herman, Lieve; Heyndrickx, Marc; Van Coillie, Els

    2017-11-17

    Food-producing animals represent one of the sources of antibiotic resistant commensal bacteria. There is an increasing awareness that these bacteria might have the potential to transfer their resistance genes to other (pathogenic) bacteria. In this study, 50 commensal Escherichia coli strains originating from food-producing animals and resistant to the "highest priority, critically important antibiotics" cefotaxime and/or ciprofloxacin, were selected for further characterization. For each strain (i) an antibiogram, (ii) the phylogenetic group, (iii) plasmid replicon type, (iv) presence and identification of integrons, and (v) antibiotic resistance transfer ratios were determined. Forty-five of these strains were resistant to 5 or more antibiotics, and 6 strains were resistant to 10 or more antibiotics. Resistance was most common to ampicillin (100%), sulfamethoxazole, ciprofloxacin (82%), trimethoprim, tetracycline (74%), cefotaxime, (70%) and ceftazidime (62%). Phylogenetic groups A (62%) and B1 (26%) were most common, followed by C (8%) and E (4%). In 43 strains, more than 1 replicon type was detected, with FII (88%), FIB (70%), and I1 (48%) being the most encountered types. Forty strains, positive for integrons, all harbored a class I integron and seven of them contained an additional class II integron. No class III integrons were detected. The antibiotic resistance transfer was assessed by liquid mating experiments. The transfer ratio, expressed as the number of transconjugants per recipient, was between 10 -5 and 10 0 for cefotaxime resistance and between 10 -7 and 10 -1 for ciprofloxacin resistance. The results of the current study prove that commensal E. coli in food-production animals can be a source of multiple resistance genes and that these bacteria can easily spread their ciprofloxacin and cefotaxime resistance.

  14. A critical role for Arabidopsis MILDEW RESISTANCE LOCUS O2 in systemic acquired resistance.

    Science.gov (United States)

    Gruner, Katrin; Zeier, Tatyana; Aretz, Christina; Zeier, Jürgen

    2018-04-16

    Members of the MILDEW RESISTANCE LOCUS O (MLO) gene family confer susceptibility to powdery mildews in different plant species, and their existence therefore seems to be disadvantageous for the plant. We recognized that expression of the Arabidopsis MLO2 gene is induced after inoculation with the bacterial pathogen Pseudomonas syringae, promoted by salicylic acid (SA) signaling, and systemically enhanced in the foliage of plants exhibiting systemic acquired resistance (SAR). Importantly, distinct mlo2 mutant lines were unable to systemically increase resistance to bacterial infection after inoculation with P. syringae, indicating that the function of MLO2 is necessary for biologically-induced SAR in Arabidopsis. Our data also suggest that the close homolog MLO6 has a supportive but less critical role in SAR. In contrast to SAR, basal resistance to bacterial infection was not affected in mlo2. Remarkably, SAR-defective mlo2 mutants were still competent in systemically increasing the levels of the SAR-activating metabolites pipecolic acid (Pip) and SA after inoculation, and to enhance SAR-related gene expression in distal plant parts. Furthermore, although MLO2 was not required for SA- or Pip-inducible defense gene expression, it was essential for the proper induction of disease resistance by both SAR signals. We conclude that MLO2 acts as a critical downstream component in the execution of SAR to bacterial infection, being required for the translation of elevated defense responses into disease resistance. Moreover, our data suggest a function for MLO2 in the activation of plant defense priming during a P. syringae challenge. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  15. Origin of negative resistance in anion migration controlled resistive memory

    Science.gov (United States)

    Banerjee, Writam; Wu, Facai; Hu, Yuan; Wu, Quantan; Wu, Zuheng; Liu, Qi; Liu, Ming

    2018-03-01

    Resistive random access memory (RRAM) is one of the most promising emerging nonvolatile technologies for the futuristic memory devices. Resistive switching behavior often shows negative resistance (NR), either voltage controlled or current controlled. In this work, the origin of a current compliance dependent voltage controlled NR effect during the resetting of anion migration based RRAM devices is discussed. The N-type voltage controlled NR is a high field driven phenomena. The current conduction within the range of a certain negative voltage is mostly dominated by space charge limited current. But with the higher negative voltage, a field induced tunneling effect is generated in the NR region. The voltage controlled NR is strongly dependent on the compliance current. The area independent behavior indicates the filamentary switching. The peak to valley ratio (PVR) is > 5. The variation of PVR as a function of the conduction band offset is achieved. Compared to other reported works, based on the PVR, it is possible to distinguish the RRAM types. Generally, due to the higher electric field effect on the metallic bridge during RESET, the electrochemical metallization type RRAM shows much higher PVR than the valance change type RRAM.

  16. Drug resistance detection and mutation patterns of multidrug resistant tuberculosis strains from children in Delhi

    Directory of Open Access Journals (Sweden)

    Jyoti Arora

    2017-06-01

    Full Text Available A total of 312 sputum samples from pediatric patients presumptive of multidrug resistant tuberculosis were tested for the detection of drug resistance using the GenoTypeMTBDRplus assay. A total of 193 (61.8% patients were smear positive and 119 (38.1% were smear negative by Ziehl–Neelsen staining. Line probe assay (LPA was performed for 208 samples/cultures (193 smear positive samples and 15 cultures from smear negative samples. Valid results were obtained from 198 tests. Of these, 125/198 (63.1% were sensitive to both rifampicin (RIF and isoniazid (INH. 73/198 (36.9% were resistant to at least INH/RIF, out of which 49 (24.7% were resistant to both INH and RIF (multidrug resistant. Children with tuberculosis are often infected by someone close to them, so strengthening of contact tracing in the program may help in early diagnosis to identify additional cases within the household. There is a need to evaluate newer diagnostic assays which have a high sensitivity in the case of smear negative samples, additional samples other than sputum among young children not able to expectorate, and also to fill the gap between estimated and reported cases under the program.

  17. Overview of drug-resistant tuberculosis worldwide

    Directory of Open Access Journals (Sweden)

    Ali A Velayati

    2016-01-01

    Full Text Available Even in the 21st century, we are losing the battle against eradication of tuberculosis (TB. In 2015, 9.6 million people were estimated to have fallen ill with TB, of which 1.5 million people died. This is the real situation despite the well-structured treatment programs and availability of effective treatment options since the 1950s. The high mortality rate has been associated with other risk factors, such as the HIV epidemic, underlying diseases, and decline of socioeconomic standards. Furthermore, the problem of drug resistance that was recognized in the early days of the chemotherapeutic era raises serious concerns. Although resistance to a single agent is the most common type, resistance to multiple agents is less frequent but of greater concern. The World Health Organization estimated approximately 5% of all new TB cases involved multidrug-resistant (MDR-TB. The estimation for MDR-TB is 3.3% for new cases, and 20.5% for previously treated cases. Failure to identify and appropriately treat MDR-TB patients has led to more dangerous forms of resistant TB. Based on World Health Organization reports, 5% of global TB cases are now considered to be extensively drug resistant (XDR, defined as MDR with additional resistance to both fluoroquinolones and at least one second-line injectable drug. XDR-TB had been reported by 105 countries by 2015. An estimated 9.7% of people with MDR-TB have XDR-TB. More recently, another dangerous form of TB bacillus was identified, which was named totally drug resistant (TDR-TB or extremely drug resistant TB. These strains were resistant to all first- and second-line anti-TB drugs. Collectively, it is accepted that 2% of MDR-TB strains turn to be TDR-TB. This number, however, may not reflect the real situation, as many laboratories in endemic TB countries do not have proper facilities and updated protocols to detect the XDR or TDR-TB strains. Nevertheless, existing data emphasize the need for additional control

  18. Drug Resistance

    Science.gov (United States)

    ... Drug-resistance testing is also recommended for all pregnant women with HIV before starting HIV medicines and also in some pregnant women already taking HIV medicines. Pregnant women will work with their health ...

  19. Neratinib resistance and cross-resistance to other HER2-targeted drugs due to increased activity of metabolism enzyme cytochrome P4503A4

    OpenAIRE

    Breslin, Susan; Lowry, Michelle C; O'Driscoll, Lorraine

    2017-01-01

    Background: Neratinib is in Phase 3 clinical trials but, unfortunately, the development of resistance is inevitable. Here, we investigated the effects of acquired neratinib resistance on cellular phenotype and the potential mechanism of this resistance. Methods: Neratinib-resistant variants of HER2-positive breast cancer cells were developed and their cross-resistance investigated using cytotoxicity assays. Similarly, sensitivity of trastuzumab-resistant and lapatinib-resistant cells to nerat...

  20. Neratinib resistance and cross-resistance to other HER2-targeted drugs due to increased activity of metabolism enzyme cytochrome P4503A4.

    Science.gov (United States)

    Breslin, Susan; Lowry, Michelle C; O'Driscoll, Lorraine

    2017-02-28

    Neratinib is in Phase 3 clinical trials but, unfortunately, the development of resistance is inevitable. Here, we investigated the effects of acquired neratinib resistance on cellular phenotype and the potential mechanism of this resistance. Neratinib-resistant variants of HER2-positive breast cancer cells were developed and their cross-resistance investigated using cytotoxicity assays. Similarly, sensitivity of trastuzumab-resistant and lapatinib-resistant cells to neratinib was assessed. Cellular phenotype changes were evaluated using migration, invasion and anoikis assays. Immunoblotting for HER family members and drug efflux pumps, as well as enzyme activity assays were performed. Neratinib resistance conferred cross-resistance to trastuzumab, lapatinib and afatinib. Furthermore, the efficacy of neratinib was reduced in trastuzumab- and lapatinib-resistant cells. Neratinib-resistant cells were more aggressive than their drug-sensitive counterparts, with increased CYP3A4 activity identified as a novel mechanism of neratinib resistance. The potential of increased CYP3A4 activity as a biomarker and/or target to add value to neratinib warrants investigation.

  1. Do Fish Resist?

    Directory of Open Access Journals (Sweden)

    Dinesh Joseph Wadiwel

    2016-03-01

    Full Text Available There have been a number of scientific studies on the question of whether fish feel pain. Some have suggested that some fish indeed do feel pain and that this has significant welfare implications (2003. Others have argued that fish do not have the brain development necessary to feel pain. In terms of number of animals killed, the slaughter of sea animals for human consumption significantly exceeds that of any land animals that we use for food, and sea animal slaughter practices frequently lack any basic welfare protections. If fish can be shown to feel pain—or more importantly, if humans can agree that fish feel pain—then this would place a significant question mark over many contemporary fishing practices.  This article substitutes the question 'Do Fish Feel Pain?' with an alternative: 'Do Fish Resist?' It explores the conceptual problems of understanding fish resistance, and the politics of epistemology that surrounds and seeks to develop a conceptual framework for understanding fish resistance to human capture by exploring the development of fishing technologies - the hook, the net and contemporary aquaculture.

  2. Resistance Distances in Vertex-Face Graphs

    Science.gov (United States)

    Shangguan, Yingmin; Chen, Haiyan

    2018-01-01

    The computation of two-point resistances in networks is a classical problem in electric circuit theory and graph theory. Let G be a triangulation graph with n vertices embedded on an orientable surface. Define K(G) to be the graph obtained from G by inserting a new vertex vϕ to each face ϕ of G and adding three new edges (u, vϕ), (v, vϕ) and (w, vϕ), where u, v and w are three vertices on the boundary of ϕ. In this paper, using star-triangle transformation and resistance local-sum rules, explicit relations between resistance distances in K(G) and those in G are obtained. These relations enable us to compute resistance distance between any two points of Kk(G) recursively. As explanation examples, some resistances in several networks are computed, including the modified Apollonian network and networks constructed from tetrahedron, octahedron and icosahedron, respectively.

  3. Challenging gender stereotypes: resistance and exclusion.

    Science.gov (United States)

    Mulvey, Kelly Lynn; Killen, Melanie

    2015-01-01

    The likelihood of resisting gender-stereotypic peer group norms, along with expectations about personal resistance, was investigated in 9- to 10-year-olds and 13- to 14-year-olds (N = 292). Participants were told about a stereotype conforming group (boys playing football; girls doing ballet) and a stereotype nonconforming group (boys doing ballet; girls playing football). Contrary to expectations from gender-stereotyping research, participants stated that they would personally resist gender-stereotypic norms, and more so than they would expect their peers to resist. However, expecting peers to resist declined with age. Participants expected that exclusion from the group was a consequence for challenging the peer group, and understood the asymmetrical status of gender stereotypes with an expectation that it would be more difficult for boys to challenge stereotypes than for girls. © 2014 The Authors. Child Development © 2014 Society for Research in Child Development, Inc.

  4. Widespread acquisition of antimicrobial resistance among Campylobacter isolates from UK retail poultry and evidence for clonal expansion of resistant lineages.

    Science.gov (United States)

    Wimalarathna, Helen M L; Richardson, Judith F; Lawson, Andy J; Elson, Richard; Meldrum, Richard; Little, Christine L; Maiden, Martin C J; McCarthy, Noel D; Sheppard, Samuel K

    2013-07-15

    Antimicrobial resistance is increasing among clinical Campylobacter cases and is common among isolates from other sources, specifically retail poultry - a major source of human infection. In this study the antimicrobial susceptibility of isolates from a UK-wide survey of Campylobacter in retail poultry in 2001 and 2004-5 was investigated. The occurrence of phenotypes resistant to tetracycline, quinolones (ciprofloxacin and naladixic acid), erythromycin, chloramphenicol and aminoglycosides was quantified. This was compared with a phylogeny for these isolates based upon Multi Locus Sequence Typing (MLST) to investigate the pattern of antimicrobial resistance acquisition. Antimicrobial resistance was present in all lineage clusters, but statistical testing showed a non-random distribution. Erythromycin resistance was associated with Campylobacter coli. For all antimicrobials tested, resistant isolates were distributed among relatively distant lineages indicative of widespread acquisition. There was also evidence of clustering of resistance phenotypes within lineages; indicative of local expansion of resistant strains. These results are consistent with the widespread acquisition of antimicrobial resistance among chicken associated Campylobacter isolates, either through mutation or horizontal gene transfer, and the expansion of these lineages as a proportion of the population. As Campylobacter are not known to multiply outside of the host and long-term carriage in humans is extremely infrequent in industrialized countries, the most likely location for the proliferation of resistant lineages is in farmed chickens.

  5. Glyphosate-resistant goosegrass from Mississippi

    Science.gov (United States)

    A glyphosate resistant population of goosegrass (Eleusine indica (L.) Gaertn.) was documented near Stoneville, Mississippi, USA, in an area which had received multiple applications of glyphosate each year for the previous eleven years. Resistance ratios based on dose response growth reduction assays...

  6. PREVALENCE AND ANTIBIOTIC RESISTANCE OF ...

    African Journals Online (AJOL)

    9 mars 2015 ... strategy to prevent the spread of this resistance. Keywords: Staphylococci; Staphylococcus aureus; Oxacillin; Antibiotic resistance; Disc diffusion. Author Correspondence, e-mail: mn.boukhatem@yahoo.fr. ICID: 1142924. Journal of Fundamental and Applied Sciences. ISSN 1112-9867. Available online at.

  7. Clinical Management of HIV Drug Resistance

    Science.gov (United States)

    Cortez, Karoll J.; Maldarelli, Frank

    2011-01-01

    Combination antiretroviral therapy for HIV-1 infection has resulted in profound reductions in viremia and is associated with marked improvements in morbidity and mortality. Therapy is not curative, however, and prolonged therapy is complicated by drug toxicity and the emergence of drug resistance. Management of clinical drug resistance requires in depth evaluation, and includes extensive history, physical examination and laboratory studies. Appropriate use of resistance testing provides valuable information useful in constructing regimens for treatment-experienced individuals with viremia during therapy. This review outlines the emergence of drug resistance in vivo, and describes clinical evaluation and therapeutic options of the individual with rebound viremia during therapy. PMID:21994737

  8. Resistive RAMs as analog trimming elements

    Science.gov (United States)

    Aziza, H.; Perez, A.; Portal, J. M.

    2018-04-01

    This work investigates the use of Resistive Random Access Memory (RRAM) as an analog trimming device. The analog storage feature of the RRAM cell is evaluated and the ability of the RRAM to hold several resistance states is exploited to propose analog trim elements. To modulate the memory cell resistance, a series of short programming pulses are applied across the RRAM cell allowing a fine calibration of the RRAM resistance. The RRAM non volatility feature makes the analog device powers up already calibrated for the system in which the analog trimmed structure is embedded. To validate the concept, a test structure consisting of a voltage reference is evaluated.

  9. Drug-resistant gram-negative uropathogens: A review.

    Science.gov (United States)

    Khoshnood, Saeed; Heidary, Mohsen; Mirnejad, Reza; Bahramian, Aghil; Sedighi, Mansour; Mirzaei, Habibollah

    2017-10-01

    Urinary tract infection(UTI) caused by Gram-negative bacteria is the second most common infectious presentation in community medical practice. Approximately 150 million people are diagnosed with UTI each year worldwide. Drug resistance in Gram-negative uropathogens is a major global concern which can lead to poor clinical outcomes including treatment failure, development of bacteremia, requirement for intravenous therapy, hospitalization, and extended length of hospital stay. The mechanisms of drug resistance in these bacteria are important due to they are often not identified by routine susceptibility tests and have an exceptional potential for outbreaks. Treatment of UTIs depends on the access to effective drugs, which is now threatened by antibiotic resistant Gram-negative uropathogens. Although several effective antibiotics with activity against highly resistant Gram-negatives are available, there is not a unique antibiotic with activity against the high variety of resistance. Therefore, antimicrobial susceptibility tests, correlation between clinicians and laboratories, development of more rapid diagnostic methods, and continuous monitoring of drug resistance are urgent priorities. In this review, we will discuss about the current global status of drug-resistant Gram-negative uropathogens and their mechanisms of drug resistance to provide new insights into their treatment options. Copyright © 2017 Elsevier Masson SAS. All rights reserved.

  10. Effect of electrode shape on grounding resistances - Part 1

    DEFF Research Database (Denmark)

    Ingeman-Nielsen, Thomas; Tomaskovicova, Sonia; Dahlin, Torleif

    2016-01-01

    Electrode grounding resistance is a major factor affecting measurement quality in electric resistivity tomography (ERT) measurements for cryospheric applications. Still, little information is available on grounding resistances in the geophysical literature, mainly because it is difficult to measure....... The focus-one protocol is a new method for estimating single electrode grounding resistances by measuring the resistance between a single electrode in an ERT array and all the remaining electrodes connected in parallel. For large arrays, the measured resistance is dominated by the grounding resistance...... of the electrode under test, the focus electrode. We have developed an equivalent circuit model formulation for the resistance measured when applying the focus-one protocol. Our model depends on the individual grounding resistances of the electrodes of the array, the mutual resistances between electrodes...

  11. Strategies to Minimize Antibiotic Resistance

    Directory of Open Access Journals (Sweden)

    Sang Hee Lee

    2013-09-01

    Full Text Available Antibiotic resistance can be reduced by using antibiotics prudently based on guidelines of antimicrobial stewardship programs (ASPs and various data such as pharmacokinetic (PK and pharmacodynamic (PD properties of antibiotics, diagnostic testing, antimicrobial susceptibility testing (AST, clinical response, and effects on the microbiota, as well as by new antibiotic developments. The controlled use of antibiotics in food animals is another cornerstone among efforts to reduce antibiotic resistance. All major resistance-control strategies recommend education for patients, children (e.g., through schools and day care, the public, and relevant healthcare professionals (e.g., primary-care physicians, pharmacists, and medical students regarding unique features of bacterial infections and antibiotics, prudent antibiotic prescribing as a positive construct, and personal hygiene (e.g., handwashing. The problem of antibiotic resistance can be minimized only by concerted efforts of all members of society for ensuring the continued efficiency of antibiotics.

  12. Antimicrobial Resistance

    Science.gov (United States)

    ... past two decades due to the increase in immunocompromised and elderly patients, increasing use of invasive indwelling ... aureus developing resistance to vancomycin, a very powerful antibiotic prescribed for the most intractable bacterial infections. In ...

  13. CONSIDERATIONS CONCERNING THE MANAGEMENT OF RESISTANCE IN ORGANIZATIONS

    OpenAIRE

    Marius-Dan DALOTĂ

    2011-01-01

    The difficulty of organisational change is often exacerbated by the mismanagement of resistance derived from a simple set of assumptions that misunderstand resistance’s essential nature. It is suggested that management may greatly gain from techniques that carefully manage resistance to change by looking for ways of utilising it rather than overcoming it. Today, suggestions and prescriptions for managing resistance have left little room for utility in resistance. Traditionally, resistance has...

  14. Investigating of four main carbapenem-resistance mechanisms in high-level carbapenem resistant Pseudomonas aeruginosa isolated from burn patients

    Directory of Open Access Journals (Sweden)

    Soodabeh Rostami

    2018-02-01

    Conclusion: Emerging antimicrobial resistance in burn wound bacterial pathogens is a serious therapeutic challenge for clinicians. In the present study, most of the isolates were MDR. This finding indicated an alarming spread of resistant isolates and suggested that infection control strategies should be considered. Resistance to carbapenems is influenced by several factors, not all of which were evaluated in our study; however, the results showed that production of MBLs and overexpression of the mexB gene were the most frequent mechanisms in carbapenem-resistant isolates.

  15. Determining the Resistivity of Resistive Sheets Using Transmission Measurements

    National Research Council Canada - National Science Library

    Hyde, IV, Milo W

    2006-01-01

    In September 2005, radar cross section (RCS) measurements were made of resistive sheets, or R-cards, wrapped around a polystyrene foam cylinder to compare with a newly developed theoretical RCS prediction technique...

  16. Beta-lactam resistance in the gram negatives: increasing complexity of conditional, composite and multiply resistant phenotypes.

    Science.gov (United States)

    Iredell, Jon; Thomas, Lee; Espedido, Björn

    2006-12-01

    The greatest impact of microbiology data on clinical care is in the critically ill. Unfortunately, this is also the area in which microbiology laboratories are most often non-contributive. Attempts to move to rapid, culture-independent diagnostics are driven by the need to expedite urgent results. This is difficult in Gram-negative infection because of the complexity of the antibiotic resistance phenotype. Here, we discuss resistance to modern beta-lactams as a case in point. Recent outbreaks of transmissible carbapenem resistance among Gram-negative enteric pathogens in Sydney and Melbourne serve to illustrate the pitfalls of traditional phenotypical approaches. A better understanding of the epidemiology and mosaic nature of antibiotic resistance elements in the microflora is needed for us to move forward.

  17. Infection control implications of heterogeneous resistance mechanisms in carbapenem-resistant Enterobacteriaceae (CRE).

    Science.gov (United States)

    Goodman, K E; Simner, P J; Tamma, P D; Milstone, A M

    2016-01-01

    The Centers for Disease Control and Prevention (CDC) defines carbapenem-resistant Enterobacteriaceae (CRE) based upon a phenotypic demonstration of carbapenem resistance. However, considerable heterogeneity exists within this definitional umbrella. CRE may mechanistically differ by whether they do or do not produce carbapenemases. Moreover, patients can acquire CRE through multiple pathways: endogenously through antibiotic selective pressure on intestinal microbiota, exogenously through horizontal transmission or through a combination of these factors. Some evidence suggests that non-carbapenemase-producing CRE may be more frequently acquired by antibiotic exposure and carbapenemase-producing CRE via horizontal transmission, but definitive data are lacking. This review examines types of CRE resistance mechanisms, antibiotic exposure and horizontal transmission pathways of CRE acquisition, and the implications of these heterogeneities to the development of evidence-based CRE healthcare epidemiology policies. In our Expert Commentary & Five-Year View, we outline specific nosocomial CRE knowledge gaps and potential methodological approaches for their resolution.

  18. Partial resistance of carrot to Alternaria dauci correlates with in vitro cultured carrot cell resistance to fungal exudates.

    Directory of Open Access Journals (Sweden)

    Mickaël Lecomte

    Full Text Available Although different mechanisms have been proposed in the recent years, plant pathogen partial resistance is still poorly understood. Components of the chemical warfare, including the production of plant defense compounds and plant resistance to pathogen-produced toxins, are likely to play a role. Toxins are indeed recognized as important determinants of pathogenicity in necrotrophic fungi. Partial resistance based on quantitative resistance loci and linked to a pathogen-produced toxin has never been fully described. We tested this hypothesis using the Alternaria dauci-carrot pathosystem. Alternaria dauci, causing carrot leaf blight, is a necrotrophic fungus known to produce zinniol, a compound described as a non-host selective toxin. Embryogenic cellular cultures from carrot genotypes varying in resistance against A. dauci were confronted with zinniol at different concentrations or to fungal exudates (raw, organic or aqueous extracts. The plant response was analyzed through the measurement of cytoplasmic esterase activity, as a marker of cell viability, and the differentiation of somatic embryos in cellular cultures. A differential response to toxicity was demonstrated between susceptible and partially resistant genotypes, with a good correlation noted between the resistance to the fungus at the whole plant level and resistance at the cellular level to fungal exudates from raw and organic extracts. No toxic reaction of embryogenic cultures was observed after treatment with the aqueous extract or zinniol used at physiological concentration. Moreover, we did not detect zinniol in toxic fungal extracts by UHPLC analysis. These results suggest that strong phytotoxic compounds are present in the organic extract and remain to be characterized. Our results clearly show that carrot tolerance to A. dauci toxins is one component of its partial resistance.

  19. Genetic determinants of heat resistance in Escherichia coli

    Directory of Open Access Journals (Sweden)

    Ryan eMercer

    2015-09-01

    Full Text Available Escherichia coli AW1.7 is a heat resistant food isolate and the occurrence of pathogenic strains with comparable heat resistance may pose a risk to food safety. To identify the genetic determinants of heat resistance, 29 strains of E. coli that differed in their of heat resistance were analyzed by comparative genomics. Strains were classified as highly heat resistant strains, exhibiting a D60-value of more than 6 min; moderately heat resistant strains, exhibiting a D60-value of more than 1 min; or as heat sensitive. A ~14 kb genomic island containing 16 predicted open reading frames encoding putative heat shock proteins and proteases was identified only in highly heat resistant strains. The genomic island was termed the locus of heat resistance (LHR. This putative operon is flanked by mobile elements and possesses >99% sequence identity to genomic islands contributing to heat resistance in Cronobacter sakazakii and Klebsiella pneumoniae. An additional 41 LHR sequences with >87% sequence identity were identified in 11 different species of β- and γ-proteobacteria. Cloning of the full length LHR conferred high heat resistance to the heat sensitive E. coli AW1.7ΔpHR1 and DH5α. The presence of the LHR correlates perfectly to heat resistance in several species of Enterobacteriaceae and occurs at a frequency of 2% of all E. coli genomes, including pathogenic strains. This study suggests the LHR has been laterally exchanged among the β- and γ-proteobacteria and is a reliable indicator of high heat resistance in E. coli.

  20. Pyramids of QTLs enhance host-plant resistance and Bt-mediated resistance to leaf-chewing insects in soybean.

    Science.gov (United States)

    Ortega, María A; All, John N; Boerma, H Roger; Parrott, Wayne A

    2016-04-01

    QTL-M and QTL-E enhance soybean resistance to insects. Pyramiding these QTLs with cry1Ac increases protection against Bt-tolerant pests, presenting an opportunity to effectively deploy Bt with host-plant resistance genes. Plant resistance to leaf-chewing insects minimizes the need for insecticide applications, reducing crop production costs and pesticide concerns. In soybean [Glycine max (L.) Merr.], resistance to a broad range of leaf-chewing insects is found in PI 229358 and PI 227687. PI 229358's resistance is conferred by three quantitative trait loci (QTLs): M, G, and H. PI 227687's resistance is conferred by QTL-E. The letters indicate the soybean Linkage groups (LGs) on which the QTLs are located. This study aimed to determine if pyramiding PI 229358 and PI 227687 QTLs would enhance soybean resistance to leaf-chewing insects, and if pyramiding these QTLs with Bt (cry1Ac) enhances resistance against Bt-tolerant pests. The near-isogenic lines (NILs): Benning(ME), Benning(MGHE), and Benning(ME+cry1Ac) were developed. Benning(ME) and Benning(MGHE) were evaluated in detached-leaf and greenhouse assays with soybean looper [SBL, Chrysodeixis includens (Walker)], corn earworm [CEW, Helicoverpa zea (Boddie)], fall armyworm [FAW, Spodoptera frugiperda (J.E. Smith)], and velvetbean caterpillar [VBC, Anticarsia gemmatalis (Hübner)]; and in field-cage assays with SBL. Benning(ME+cry1Ac) was tested in detached-leaf assays against SBL, VBC, and Southern armyworm [SAW, Spodoptera eridania (Cramer)]. In the detached-leaf assay, Benning(ME) showed the strongest antibiosis against CEW, FAW, and VBC. In field-cage conditions, Benning(ME) and Benning(MGHE) suffered 61 % less defoliation than Benning. Benning(ME+cry1Ac) was more resistant than Benning(ME) and Benning (cry1Ac) against SBL and SAW. Agriculturally relevant levels of resistance in soybean can be achieved with just two loci, QTL-M and QTL-E. ME+cry1Ac could present an opportunity to protect the durability of Bt