WorldWideScience

Sample records for diamond deposition reactors

  1. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  2. Diamond deposition using a planar radio frequency inductively coupled plasma

    Science.gov (United States)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  3. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  4. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  5. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  6. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  7. Tracing the Source of Borneo's Cempaka Diamond Deposit

    Science.gov (United States)

    White, L. T.; Graham, I.; Armstrong, R. A.; Hall, R.

    2014-12-01

    Several gem quality diamond deposits are found in paleo-alluvial deposits across Borneo. The source of the diamonds and their origin are enigmatic. They could have formed in Borneo and be derived from local sources, or they could be related to diamond deposits in NW Australia, and carried with the Southwest Borneo Block after it rifted from Australia in the Late Jurassic. We collected U-Pb isotopic data from detrital zircons from the Cempaka alluvial diamond deposit in southeast Borneo. Two thirds of the zircons that were dated crystallized between 75 Ma and 110 Ma. The other third are Triassic or older (223 Ma, 314-319 Ma, 353-367 Ma, 402-414 Ma, 474 Ma, 521 Ma, 549 Ma, 1135-1176 Ma, 1535 Ma, 2716 Ma). All of the Cretaceous zircons are angular, euhedral grains with minor evidence of mechanical abrasion. Considering their age and morphology they were likely derived from the nearby Schwaner Granites. The Triassic and older grains are rounded to semi-rounded and were likely derived from Australia before Borneo rifted from Gondwana. Some of the zircons have ages that resemble those of the Merlin and Argyle diamond deposits of Australia. The diamonds themselves have delicate resorption features and overgrowths that would potentially be destroyed with prolonged transport. Geochemical data collected from the diamonds implies they were associated with lamproite intrusions. Deep seismic lines and zircons from igneous rocks suggest SE Borneo, the East Java Sea and East Java are largely underlain by thick lithosphere rifted from NW Australia. Based on several lines of evidence, we propose that diamond-bearing lamproites intruded before rifting of SW Borneo from Australia, or after collision with Sundaland of SW Borneo and the East Java-West Sulawesi Blocks during the Cretaceous. Exposure of the source after the Late Cretaceous led to diamond accumulation in river systems that flowed from the Schwaner Mountains.

  8. Tracing the depositional history of Kalimantan diamonds by zircon provenance and diamond morphology studies

    Science.gov (United States)

    Kueter, Nico; Soesilo, Joko; Fedortchouk, Yana; Nestola, Fabrizio; Belluco, Lorenzo; Troch, Juliana; Wälle, Markus; Guillong, Marcel; Von Quadt, Albrecht; Driesner, Thomas

    2016-11-01

    Diamonds in alluvial deposits in Southeast Asia are not accompanied by indicator minerals suggesting primary kimberlite or lamproite sources. The Meratus Mountains in Southeast Borneo (Province Kalimantan Selatan, Indonesia) provide the largest known deposit of these so-called "headless" diamond deposits. Proposals for the origin of Kalimantan diamonds include the adjacent Meratus ophiolite complex, ultra-high pressure (UHP) metamorphic terranes, obducted subcontinental lithospheric mantle and undiscovered kimberlite-type sources. Here we report results from detailed sediment provenance analysis of diamond-bearing Quaternary river channel material and from representative outcrops of the oldest known formations within the Alino Group, including the diamond-bearing Campanian-Maastrichtian Manunggul Formation. Optical examination of surfaces of diamonds collected from artisanal miners in the Meratus area (247 stones) and in West Borneo (Sanggau Area, Province Kalimantan Barat; 85 stones) points toward a classical kimberlite-type source for the majority of these diamonds. Some of the diamonds host mineral inclusions suitable for deep single-crystal X-ray diffraction investigation. We determined the depth of formation of two olivines, one coesite and one peridotitic garnet inclusion. Pressure of formation estimates for the peridotitic garnet at independently derived temperatures of 930-1250 °C are between 4.8 and 6.0 GPa. Sediment provenance analysis includes petrography coupled to analyses of detrital garnet and glaucophane. The compositions of these key minerals do not indicate kimberlite-derived material. By analyzing almost 1400 zircons for trace element concentrations with laser ablation ICP-MS (LA-ICP-MS) we tested the mineral's potential as an alternative kimberlite indicator. The screening ultimately resulted in a small subset of ten zircons with a kimberlitic affinity. Subsequent U-Pb dating resulting in Cretaceous ages plus a detailed chemical reflection make

  9. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  10. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  11. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  12. Diamond as a solid state micro-fission chamber for thermal neutron detection at the VR-1 research reactor

    International Nuclear Information System (INIS)

    Pomorski, Michal; Mer-Calfati, Christine; Foulon, Francois; Sklenka, Lubomir; Rataj, Jan; Bily, Tomas

    2015-01-01

    Diamond exhibits a combination of properties which makes it attractive for neutron detection in hostile conditions. In the particular case of detection in a nuclear reactor, it is resilient to radiation, exhibits a natural low sensitivity to gamma rays, and its small size (as compared with that of gas ionisation chambers) enables fluency monitoring with a high position resolution. We report here on the use of synthetic CVD diamond as a solid state micro-fission chamber with U-235 converting material for in-core thermal neutron monitoring. Two types of thin diamond detectors were developed for this application. The first type of detector is fabricated using thin diamond membrane obtained by etching low-cost commercially available single crystal CVD intrinsic diamond, so called 'optical grade' material. Starting from a few hundred of micrometre thick samples, the sample is sliced with a laser and then plasma etched down to a few tenths of micrometre. Here we report the result obtained with a 17 μm thick device. The detection surface of this detector is equal to 1 mm 2 . Detectors with surfaces up to 1 cm 2 can be fabricated with this technique. The second type of detector is fabricated by growing successively two thin films of diamond, by the microwave enhanced chemical vapour deposition technique, on HPHT single crystal diamond. A first, a film of boron doped (p+) single crystal diamond, a few microns thick, is deposited. Then a second film of intrinsic diamond with a thickness of a few tens of microns is deposited. This results in a P doped, Intrinsic, Metal structure (PIM) structure in which the intrinsic volume id the active part of the detector. Here we report the results obtained with a 20 μm thick intrinsic whose detection surface is equal to 0.5 mm 2 , with the possibility to enlarge the surface of the detector up to 1 cm 2 . These two types of detector were tested at the VR-1 research reactor at the Czech Technical University in Prague. The

  13. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  14. Pulsed laser deposition of metallic films on the surface of diamond particles for diamond saw blades

    International Nuclear Information System (INIS)

    Jiang Chao; Luo Fei; Long Hua; Hu Shaoliu; Li Bo; Wang Youqing

    2005-01-01

    Ti or Ni films have been deposited on the diamond particle surfaces by pulsed laser deposition. Compressive resistance of the uncoated and coated diamond particles was measured, respectively, in the experiments. The compressive resistance of the Ti-coated diamonds particles was found much higher than that of the uncoated ones. It increased by 39%. The surface morphology is observed by the metallography microscope. The surface of the uncoated diamonds particles had many hollows and flaws, while the surface of Ni-coated diamond particles was flat and smooth, and the surface of Ti-coated diamond particles had some metal masses that stood out of the surface of the Ti-coated film. The components of the metallic films of diamond particles were examined by X-ray diffractometry (XRD). TiC was found formed on the Ti-coated diamond surface, which resulted in increased surface bonding strength between the diamond particles and the Ti films. Meanwhile, TiC also favored improving the bonding strength between the coated diamond particles and the binding materials. Moreover, the bending resistance of the diamond saw blade made of Ti-coated diamond was drastically higher than that of other diamond saw blades, which also played an important role in improving the blade's cutting ability and lifetime. Therefore, it was most appropriate that the diamond saw blade was made of Ti-coated diamond particles rather than other materials

  15. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  16. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  17. Diamond coating deposition by synergy of thermal and laser methods-A problem revisited

    International Nuclear Information System (INIS)

    Ristic, Gordana S.; Trtica, Milan S.; Bogdanov, Zarko D.; Romcevic, Nebojsa Z.; Miljanic, Scepan S.

    2007-01-01

    Diamond coatings were deposited by synergy of the hot filament CVD method and the pulse TEA CO 2 laser, in spectroactive and spectroinactive diamond precursor atmospheres. Resulting diamond coatings are interpreted relying on evidence of scanning electron microscopy as well as microRaman spectroscopy. Thermal synergy component (hot filament) possesses an activating agent for diamond deposition, and contributes significantly to quality and extent of diamond deposition. Laser synergy component comprises a solid surface modification as well as the spectroactive gaseous atmosphere modification. Surface modification consists in changes of the diamond coating being deposited and, at the same time, in changes of the substrate surface structure. Laser modification of the spectroactive diamond precursor atmosphere means specific consumption of the precursor, which enables to skip the deposition on a defined substrate location. The resulting process of diamond coating elimination from certain, desired locations using the CO 2 laser might contribute to tailoring diamond coatings for particular applications. Additionally, the substrate laser modification could be optimized by choice of a proper spectroactive precursor concentration, or by a laser radiation multiple pass through an absorbing medium

  18. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  19. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  20. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  1. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  2. Diamond as a solid state micro-fission chamber for thermal neutron detection at the VR-1 research reactor

    Energy Technology Data Exchange (ETDEWEB)

    Pomorski, Michal; Mer-Calfati, Christine [CEA-LIST, Diamond Sensors Laboratory, 91191, Gif-sur-Yvette (France); Foulon, Francois [CEA, National Institute for Nuclear Science and Technology, 91191, Gif-sur-Yvette (France); Sklenka, Lubomir; Rataj, Jan; Bily, Tomas [Department of Nuclear Reactors,Faculty of Nuclear Science and Physical Engineering, Czech Technical University, V. Holesovickach 2, 180 00 PRAHA 8 (Czech Republic)

    2015-07-01

    Diamond exhibits a combination of properties which makes it attractive for neutron detection in hostile conditions. In the particular case of detection in a nuclear reactor, it is resilient to radiation, exhibits a natural low sensitivity to gamma rays, and its small size (as compared with that of gas ionisation chambers) enables fluency monitoring with a high position resolution. We report here on the use of synthetic CVD diamond as a solid state micro-fission chamber with U-235 converting material for in-core thermal neutron monitoring. Two types of thin diamond detectors were developed for this application. The first type of detector is fabricated using thin diamond membrane obtained by etching low-cost commercially available single crystal CVD intrinsic diamond, so called 'optical grade' material. Starting from a few hundred of micrometre thick samples, the sample is sliced with a laser and then plasma etched down to a few tenths of micrometre. Here we report the result obtained with a 17 μm thick device. The detection surface of this detector is equal to 1 mm{sup 2}. Detectors with surfaces up to 1 cm{sup 2} can be fabricated with this technique. The second type of detector is fabricated by growing successively two thin films of diamond, by the microwave enhanced chemical vapour deposition technique, on HPHT single crystal diamond. A first, a film of boron doped (p+) single crystal diamond, a few microns thick, is deposited. Then a second film of intrinsic diamond with a thickness of a few tens of microns is deposited. This results in a P doped, Intrinsic, Metal structure (PIM) structure in which the intrinsic volume id the active part of the detector. Here we report the results obtained with a 20 μm thick intrinsic whose detection surface is equal to 0.5 mm{sup 2}, with the possibility to enlarge the surface of the detector up to 1 cm{sup 2}. These two types of detector were tested at the VR-1 research reactor at the Czech Technical University in

  3. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  4. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  5. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  6. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  7. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  8. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  9. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  10. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  11. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  12. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  13. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  14. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  15. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  16. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  17. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  18. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  19. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  20. Room-temperature deposition of diamond-like carbon field emitter on flexible substrates

    International Nuclear Information System (INIS)

    Chen, H.; Iliev, M.N.; Liu, J.R.; Ma, K.B.; Chu, W.-K.; Badi, N.; Bensaoula, A.; Svedberg, E.B.

    2006-01-01

    Room-temperature fabrication of diamond-like carbon electron field emitters on flexible polyimide substrate is reported. These thin film field emitters are made using an Ar gas cluster ion beam assisted C 6 vapor deposition method. The bond structure of the as-deposited diamond-like carbon film was studied using Raman spectroscopy. The field emission characteristics of the deposited films were also measured. Electron current densities over 15 mA/cm 2 have been recorded under an electrical field of about 65 V/μm. These diamond-like carbon field emitters are easy and inexpensive to fabricate. The results are promising for flexible field-emission fabrication without the need of complex patterning and tip shaping as compared to the Spindt-type field emitters

  1. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  2. Sputtered tungsten-based ternary and quaternary layers for nanocrystalline diamond deposition.

    Science.gov (United States)

    Walock, Michael J; Rahil, Issam; Zou, Yujiao; Imhoff, Luc; Catledge, Shane A; Nouveau, Corinne; Stanishevsky, Andrei V

    2012-06-01

    Many of today's demanding applications require thin-film coatings with high hardness, toughness, and thermal stability. In many cases, coating thickness in the range 2-20 microm and low surface roughness are required. Diamond films meet many of the stated requirements, but their crystalline nature leads to a high surface roughness. Nanocrystalline diamond offers a smoother surface, but significant surface modification of the substrate is necessary for successful nanocrystalline diamond deposition and adhesion. A hybrid hard and tough material may be required for either the desired applications, or as a basis for nanocrystalline diamond film growth. One possibility is a composite system based on carbides or nitrides. Many binary carbides and nitrides offer one or more mentioned properties. By combining these binary compounds in a ternary or quaternary nanocrystalline system, we can tailor the material for a desired combination of properties. Here, we describe the results on the structural and mechanical properties of the coating systems composed of tungsten-chromium-carbide and/or nitride. These WC-Cr-(N) coatings are deposited using magnetron sputtering. The growth of adherent nanocrystalline diamond films by microwave plasma chemical vapor deposition has been demonstrated on these coatings. The WC-Cr-(N) and WC-Cr-(N)-NCD coatings are characterized with atomic force microscopy and SEM, X-ray diffraction, X-ray photoelectron spectroscopy, Raman spectroscopy, and nanoindentation.

  3. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  4. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  5. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  6. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  7. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  8. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Beneficial effects of laser irradiation on the deposition process of diamond/Ni60 composite coating with cold spray

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Jianhua, E-mail: laser@zjut.edu.cn; Yang, Lijing; Li, Bo; Li, Zhihong

    2015-03-01

    Graphical abstract: - Highlights: • The hard Ni-based alloy powder as matrix in diamond composite coating was studied. • The influence of laser on diamond distribution of composite coating was analyzed. • The graphitization of diamond was prohibited in supersonic laser deposition process. • The abrasion mechanisms of diamond/Ni60 composite coating were discussed. - Abstract: Although cold spray process has many unique advantages over other coating techniques, it has difficulties in depositing hard materials. This article presents a study in the beneficial effects of laser irradiation on the fabrication process of diamond/Ni60 composite coating using cold spray. The focus of this research is on the comparison between the composite coatings produced with laser cladding (LC) and with supersonic laser deposition (SLD), with respect to diamond graphitization and tribological properties, thus to demonstrate the beneficial effects of laser irradiation on the cold spray process. The influence of deposition temperature on the coating characteristics, such as deposition efficiency, diamond volume fraction, microstructure and phase is also investigated. The tribological properties of the diamond/Ni60 composite coating produced with SLD are determined using a pin-on-disc tribometer, along with the diamond/Ni60 coating produced using LC with the optimal process parameters for comparison. The experimental results show that with the assistance of laser irradiation, diamond/Ni60 composite coating can be successfully deposited using cold spray; the obtained coating is superior to that processed with LC, because SLD can suppress the graphitization of the diamond particles. The diamond/Ni60 composite coating fabricated with SLD has much better tribological properties than the LC coating.

  10. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  11. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  12. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  13. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  14. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  15. Selective data analysis for diamond detectors in neutron fields

    Directory of Open Access Journals (Sweden)

    Weiss Christina

    2017-01-01

    Full Text Available Detectors based on synthetic chemical vapor deposition diamond gain importance in various neutron applications. The superior thermal robustness and the excellent radiation hardness of diamond as well as its excellent electronic properties make this material uniquely suited for rough environments, such as nuclear fission and fusion reactors. The intrinsic electronic properties of single-crystal diamond sensors allow distinguishing various interactions in the detector. This can be used to successfully suppress background of γ-rays and charged particles in different neutron experiments, such as neutron flux measurements in thermal nuclear reactors or cross-section measurements in fast neutron fields. A novel technique of distinguishing background reactions in neutron experiments with diamond detectors will be presented. A proof of principle will be given on the basis of experimental results in thermal and fast neutron fields.

  16. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  17. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  18. Chromium-doped diamond-like carbon films deposited by dual-pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Písařík, Petr; Jelínek, Miroslav; Kocourek, Tomáš; Zezulová, M.; Remsa, Jan; Jurek, Karel

    2014-01-01

    Roč. 117, č. 1 (2014), s. 83-88 ISSN 0947-8396 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : diamond like carbon * chromium * contact angle * surface free energy * dual laser deposition * zeta potential Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.704, year: 2014

  19. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  20. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  1. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  2. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  3. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  4. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  5. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  6. Diamond Ordinance Radiation Facility (DORF) reactor operating experiences

    International Nuclear Information System (INIS)

    Gieseler, Walter

    1970-01-01

    The Diamond Ordnance Radiation Facility Mark F Reactor is described and some of the problems encountered with its operation are discussed. In a period from reactor startup in September 1961 to June 1964, when the aluminum-clad core was changed to a stainless-steel clad core, a total of 30 fuel elements were removed from reactor service because of excessive growth. One leaking fuel element was detected during the lifetime of the aluminum- clad core. In June 1964, the core was changed to the stainless-steel-clad high hydride fuel elements. Since the installation of the stainless-steel-clad fuel element core, there has been a gradual decline of excess reactivity. Various theories were discussed as the cause but the investigations have resulted in no definitive conclusion that could account for the total reactivity loss

  7. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  8. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.J., E-mail: lixj@alum.imr.ac.cn [College of Material Science and Engineering, Key Laboratory of Advanced Structural Materials, Ministry of Education, Changchun University of Technology, Changchun, 130012 (China); He, L.L., E-mail: llhe@imr.ac.cn [Shenyang National Lab of Materials Science, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Li, Y.S. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Hirose, A. [Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada)

    2017-01-15

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  9. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    International Nuclear Information System (INIS)

    Li, X.J.; He, L.L.; Li, Y.S.; Yang, Q.; Hirose, A.

    2017-01-01

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  10. Adherence of diamond films on refractory metal substrates for thermionic applications

    International Nuclear Information System (INIS)

    Tsao, B.H.; Ramalingam, M.L.; Adams, S.F.; Cloyd, J.S.

    1991-01-01

    Diamond films are currently being considered as electrical insulation material for application in the thermionic fuel element of a power producing nuclear reactor system. The function of the diamond insulator in this application is to electrically isolate the collector of each cell in the TFE from the coolant and outer sheath. Deposition of diamond films on plane surfaces of Si/SiO 2 have already been demonstrated to be quite effective. However, the diamond films on refractory metal surfaces tend to spall off in the process of deposition revealing an inefficient adherence characteristic between the film and the substrate. This paper is geared towards explaining this deficiency by way of selected experimentation and the use of analytical tools to predict uncertainties such as the mismatch in coefficient of expansion, micrographic study of the interface between the film and the substrate and X-ray diffraction spectra. The investigation of the adherence characteristics of several diamond films on Mo and Nb substrates revealed that there was an allowable stress that resulted in the formation of the critical thickness for the diamond film

  11. Friction and wear properties of diamonds and diamond coatings

    International Nuclear Information System (INIS)

    Hayward, I.P.

    1991-01-01

    The recent development of chemical vapor deposition techniques for diamond growth enables bearings to be designed which exploit diamond's low friction and extreme resistance to wear. However, currently produced diamond coatings differ from natural diamond surfaces in that they are polycrystalline and faceted, and often contain appreciable amounts of non-diamond material (i.e. graphitic or amorphous carbon). Roughness, in particular, influences the friction and wear properties; rough coatings severely abrade softer materials, and can even wear natural diamond sliders. Nevertheless, the best available coatings exhibit friction coefficients as low as those of natural diamond and are highly resistant to wear. This paper reviews the tribological properties of natural diamond, and compares them with those of chemical vapor deposited diamond coatings. Emphasis is placed on the roles played by roughness and material transfer in controlling frictional behavior. (orig.)

  12. Gamma-radiation effect on diamond and steel during their irradiation in WWER type reactors

    International Nuclear Information System (INIS)

    Nikolaenko, V.A.; Karpukhin, V.I.; Amaev, A.D.; Vikhrov, V.I.; Korolev, Yu.N.; Krasikov, E.A.

    1996-01-01

    A study is made into the influence of reactor gamma radiation on expansion of crystal lattice in diamond. The data obtained are compared to those on radiation embrittlement of reactor vessel steels. The necessity of taking into consideration gamma radiation effects on WWER reactor vessel radiation resistance during long-term operation is shown [ru

  13. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  14. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  15. Spray-loading: A cryogenic deposition method for diamond anvil cell

    Science.gov (United States)

    Scelta, Demetrio; Ceppatelli, Matteo; Ballerini, Riccardo; Hajeb, Ahmed; Peruzzini, Maurizio; Bini, Roberto

    2018-05-01

    An efficient loading technique has been developed for flammable, toxic, or explosive gases which can be condensed at liquid nitrogen temperature and ambient pressure in membrane diamond anvil cells (DACs). This cryogenic technique consists in a deposition of small quantities of the desired gas directly into the sample chamber. The deposition is performed using a capillary that reaches the space between the diamond anvils. The DAC is kept under inert gas overpressure during the whole process, in order to avoid contamination from atmospheric O2, CO2, and H2O. This technique provides significant advantages over standard cryo-loading and gas-loading when the condensation of dangerous samples at liquid nitrogen temperature raises safety concerns because it allows dealing with minimum quantities of condensed gases. The whole procedure is particularly fast and efficient. The "spray-loading" has been successfully used in our laboratory to load several samples including acetylene, ammonia, ethylene, and carbon dioxide/water or red phosphorus/NH3 mixtures.

  16. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  17. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  18. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  19. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  20. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  1. Diamond Synthesis Employing Nanoparticle Seeds

    Science.gov (United States)

    Uppireddi, Kishore (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor)

    2014-01-01

    Iron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  2. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  3. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  4. Diamond pixel modules

    International Nuclear Information System (INIS)

    Asner, D.; Barbero, M.; Bellini, V.; Belyaev, V.; Brom, J-M.; Bruzzi, M.; Chren, D.; Cindro, V.; Claus, G.; Cristinziani, M.; Costa, S.; D'Alessandro, R.; Boer, W. de; Dobos, D.; Dolenc, I.; Dulinski, W.; Duris, J.; Eremin, V.; Eusebi, R.; Frais-Koelbl, H.

    2011-01-01

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10 16 protons/cm 2 illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  5. Diamond pixel modules

    Energy Technology Data Exchange (ETDEWEB)

    Asner, D. [Carleton University, Ottawa (Canada); Barbero, M. [Universitaet Bonn (Germany); Bellini, V. [INFN/University of Catania (Italy); Belyaev, V. [MEPHI Institute, Moscow (Russian Federation); Brom, J-M. [IPHC, Strasbourg (France); Bruzzi, M. [INFN/University of Florence (Italy); Chren, D. [Czech Technical University, Prague (Czech Republic); Cindro, V. [Jozef Stefan Institute, Ljubljana (Slovenia); Claus, G. [IPHC, Strasbourg (France); Cristinziani, M. [Universitaet Bonn (Germany); Costa, S. [INFN/University of Catania (Italy); D' Alessandro, R. [Department of Energetics/INFN Florence (Italy); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Dobos, D. [CERN, Geneva (Switzerland); Dolenc, I. [Jozef Stefan Institute, Ljubljana (Slovenia); Dulinski, W. [IPHC, Strasbourg (France); Duris, J. [UCLA, Los Angeles, CA (United States); Eremin, V. [Ioffe Institute, St. Petersburg (Russian Federation); Eusebi, R. [FNAL, Batavia (United States); Frais-Koelbl, H. [Fachhochschule fuer Wirtschaft und Technik, Wiener Neustadt (Austria)

    2011-04-21

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10{sup 16} protons/cm{sup 2} illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  6. Structure and properties of diamond and diamond-like films

    Energy Technology Data Exchange (ETDEWEB)

    Clausing, R.E. [Oak Ridge National Lab., TN (United States)

    1993-01-01

    This section is broken into four parts: (1) introduction, (2) natural IIa diamond, (3) importance of structure and composition, and (4) control of structure and properties. Conclusions of this discussion are that properties of chemical vapor deposited diamond films can compare favorably with natural diamond, that properties are anisotropic and are a strong function of structure and crystal perfection, that crystal perfection and morphology are functions of growth conditions and can be controlled, and that the manipulation of texture and thereby surface morphology and internal crystal perfection is an important step in optimizing chemically deposited diamond films for applications.

  7. Selective area deposition of diamond films on AlGaN/GaN heterostructures

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Babchenko, Oleg; Jirásek, Vít; Vanko, G.; Vallo, M.; Vojs, M.; Kromka, Alexander

    2014-01-01

    Roč. 250, č. 12 (2014), 2574-2580 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : circular high electron mobility transistors * diamond films * GaN substrates * microwave chemical vapor deposition * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  8. Diamond films: Historical perspective

    Energy Technology Data Exchange (ETDEWEB)

    Messier, R. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This section is a compilation of notes and published international articles about the development of methods of depositing diamond films. Vapor deposition articles are included from American, Russian, and Japanese publications. The international competition to develop new deposition methodologies is stressed. The current status of chemical vapor deposition of diamond is assessed.

  9. Fabrication of a miniature diamond grinding tool using a hybrid process of micro-EDM and co-deposition

    International Nuclear Information System (INIS)

    Chen, Shun-Tong; Lai, Yun-Cheng; Liu, Ching-Chang

    2008-01-01

    A novel miniature diamond grinding tool usable for the precise micro-grinding of miniature parts is presented. A hybrid process that combines 'micro-EDM' with 'precision co-deposition' is proposed. The metal substrate is micro-EDMed to a 50 µm diameter and micro diamonds with 0–2 µm grains are 'electroformed' on the substrate surface, producing a miniature multilayered grinding tool. Nickel and diamond act as binders and cutters, respectively. A partition plate with an array of drilled holes is designed to ensure good convection in the electroforming solution. The dispersion of diamond grains and displacement of nickel ions are noticeably improved. A miniature funnel mould enables the diamond grains to converge towards the cathode to increase their deposition probability on the substrate, thereby improving their distribution on the substrate surface. A micro ZrO 2 ceramic ferrule is finely ground by the developed grinding tool and then yields a surface roughness of R a = 0.085 µm. The proposed approach is applied during the final machining process

  10. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  11. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  12. Adherent diamond coatings on cemented tungsten carbide substrates with new Fe/Ni/Co binder phase

    International Nuclear Information System (INIS)

    Polini, Riccardo; Delogu, Michele; Marcheselli, Giancarlo

    2006-01-01

    WC-Co hard metals continue to gain importance for cutting, mining and chipless forming tools. Cobalt metal currently dominates the market as a binder because of its unique properties. However, the use of cobalt as a binder has several drawbacks related to its hexagonal close-packed structure and market price fluctuations. These issues pushed the development of pre-alloyed binder powders which contain less than 40 wt.% cobalt. In this paper we first report the results of extensive investigations of WC-Fe/Ni/Co hard metal sintering, surface pretreating and deposition of adherent diamond films by using an industrial hot filament chemical vapour deposition (HFCVD) reactor. In particular, CVD diamond was deposited onto WC-Fe/Ni/Co grades which exhibited the best mechanical properties. Prior to deposition, the substrates were submitted to surface roughening by Murakami's etching and to surface binder removal by aqua regia. The adhesion was evaluated by Rockwell indentation tests (20, 40, 60 and 100 kg) conducted with a Brale indenter and compared to the adhesion of diamond films grown onto Co-cemented tungsten carbide substrates, which were submitted to similar etching pretreatments and identical deposition conditions. The results showed that diamond films on medium-grained WC-6 wt.% Fe/Ni/Co substrates exhibited good adhesion levels, comparable to those obtained for HFCVD diamond on Co-cemented carbides with similar microstructure

  13. Diamond anvil cells using boron-doped diamond electrodes covered with undoped diamond insulating layer

    Science.gov (United States)

    Matsumoto, Ryo; Yamashita, Aichi; Hara, Hiroshi; Irifune, Tetsuo; Adachi, Shintaro; Takeya, Hiroyuki; Takano, Yoshihiko

    2018-05-01

    Diamond anvil cells using boron-doped metallic diamond electrodes covered with undoped diamond insulating layers have been developed for electrical transport measurements under high pressure. These designed diamonds were grown on a bottom diamond anvil via a nanofabrication process combining microwave plasma-assisted chemical vapor deposition and electron beam lithography. The resistance measurements of a high-quality FeSe superconducting single crystal under high pressure were successfully demonstrated by just putting the sample and gasket on the bottom diamond anvil directly. The superconducting transition temperature of the FeSe single crystal was increased to up to 43 K by applying uniaxial-like pressure.

  14. A methodological toolkit for field assessments of artisanally mined alluvial diamond deposits

    Science.gov (United States)

    Chirico, Peter G.; Malpeli, Katherine C.

    2014-01-01

    This toolkit provides a standardized checklist of critical issues relevant to artisanal mining-related field research. An integrated sociophysical geographic approach to collecting data at artisanal mine sites is outlined. The implementation and results of a multistakeholder approach to data collection, carried out in the assessment of Guinea’s artisanally mined diamond deposits, also are summarized. This toolkit, based on recent and successful field campaigns in West Africa, has been developed as a reference document to assist other government agencies or organizations in collecting the data necessary for artisanal diamond mining or similar natural resource assessments.

  15. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  16. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  17. Energy deposition in STARFIRE reactor components

    International Nuclear Information System (INIS)

    Gohar, Y.; Brooks, J.N.

    1985-04-01

    The energy deposition in the STARFIRE commercial tokamak reactor was calculated based on detailed models for the different reactor components. The heat deposition and the 14 MeV neutron flux poloidal distributions in the first wall were obtained. The poloidal surface heat load distribution in the first wall was calculated from the plasma radiation. The Monte Carlo method was used for the calculation to allow an accurate modeling for the reactor geometry

  18. Characterization of diamond thin films deposited by a CO{sub 2} laser-assisted combustion-flame method

    Energy Technology Data Exchange (ETDEWEB)

    McKindra, Travis, E-mail: mckindra@mst.edu [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O' Keefe, Matthew J. [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Xie Zhiqiang; Lu Yongfeng [Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States)

    2010-06-15

    Diamond thin films were deposited by a CO{sub 2} laser-assisted O{sub 2}/C{sub 2}H{sub 2}/C{sub 2}H{sub 4} combustion-flame process. The effect of the deposition parameters, in particular the laser wavelength and power, on the film surface morphology, microstructure and phases present was the primary focus of the work. The laser power was set at 100, 400 and 800 W while the wavelength was varied and set at 10.591 {mu}m in the untuned condition and set at 10.532 {mu}m to resonantly match the CH{sub 2}-wagging vibrational mode of the C{sub 2}H{sub 4} molecule when in the tuned condition. When the laser was coupled to the combustion flame during deposition the diamond film growth was enhanced as the lateral grain size increased from 1 {mu}m to greater than 5 {mu}m. The greatest increase in grain size occurred when the wavelength was in the tuned condition. Scanning transmission electron microscopy images from focused-ion beam cross-sectioned samples revealed a sub-layer of smaller grains less than 1 {mu}m in size near the substrate surface at the lower laser powers and untuned wavelength. X-ray diffraction results showed a more intense Diamond (111) peak as the laser power increased from 100 to 800 W for the films deposited with the tuned laser wavelength. Micro-Raman spectra showed a diamond peak nearly twice as intense from the films with the tuned laser wavelength.

  19. Memory effect in the deposition of C20 fullerenes on a diamond surface

    Science.gov (United States)

    Du, A. J.; Pan, Z. Y.; Ho, Y. K.; Huang, Z.; Zhang, Z. X.

    2002-07-01

    In this paper, the deposition of C20 fullerenes on a diamond (001)-(2×1) surface and the fabrication of C20 thin film at 100 K were investigated by a molecular dynamics (MD) simulation using the many-body Brenner bond order potential. First, we found that the collision dynamic of a single C20 fullerene on a diamond surface was strongly dependent on its impact energy. Within the energy range 10-45 eV, the C20 fullerene chemisorbed on the surface retained its free cage structure. This is consistent with the experimental observation, where it was called the memory effect in ``C20-type'' films [P. Melion et al., Int. J. Mod. B 9, 339 (1995); P. Milani et al., Cluster Beam Synthesis of Nanostructured Materials (Springer, Berlin, 1999)]. Next, more than one hundred C20 (10-25 eV) were deposited one after the other onto the surface. The initial growth stage of C20 thin film was observed to be in the three-dimensional island mode. The randomly deposited C20 fullerenes stacked on diamond surface and acted as building blocks forming a polymerlike structure. The assembled film was also highly porous due to cluster-cluster interaction. The bond angle distribution and the neighbor-atom-number distribution of the film presented a well-defined local order, which is of sp3 hybridization character, the same as that of a free C20 cage. These simulation results are again in good agreement with the experimental observation. Finally, the deposited C20 film showed high stability even when the temperature was raised up to 1500 K.

  20. Diamond film deposition on WC–Co and steel substrates with a CrN interlayer for tribological applications

    International Nuclear Information System (INIS)

    Chandran, Maneesh; Hoffman, Alon

    2016-01-01

    The most renowned property of diamond is its exceptional hardness. By depositing diamond films on tungsten carbide (WC–Co) and steel substrates, the hardness of diamond can be combined with the toughness of these materials, resulting in an excellent wear resistance material for tribological applications. However, poor adhesion of diamond coating on these substrates leads to a lesser lifetime for the diamond coated tools than expected. The prime reasons for the lack of proper adhesion are the preferential formation of graphitic layer at the interface due to the catalytic activities of cobalt/iron and the interfacial residual stresses due to the mismatch in thermal expansion coefficients of diamond (1.5  ×  10 −6 K −1 ) and WC–Co (5.2  ×  10 −6 K −1 ) or steel (12  ×  10 −6 K −1 ). In this review, we discuss the possibility of using a Cr–N interlayer as a diffusion barrier to prevent the catalytic activities of cobalt/iron and also to relax the interfacial residual stresses to some extent to enhance the adhesion of diamond coatings on these substrates. An overview of the most pertinent results of the last two decades, including the recent progress is introduced. We describe in detail how the Cr–N interlayer with the desired properties is fabricated. We give a concise overview of diamond deposition process, including the methods to vary the grain size from microcrystalline to nanocrystalline, which are suitable for some tribological applications. We describe in detail on surface and interface analysis, residual stress measurements, assessment adhesion strength and tribological performance of diamond coated WC–Co and steel substrates using various characterization techniques. We conclude by highlighting the current progress and future perspectives of diamond coatings on these substrates for tribological applications. (topical review)

  1. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  2. Plasma spraying method for forming diamond and diamond-like coatings

    Science.gov (United States)

    Holcombe, Cressie E.; Seals, Roland D.; Price, R. Eugene

    1997-01-01

    A method and composition for the deposition of a thick layer (10) of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition (12) including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate (20). The softened or molten composition (18) crystallizes on the substrate (20) to form a thick deposition layer (10) comprising at least a diamond or diamond-like material. The selected composition (12) includes at least glassy carbon as a primary constituent (14) and may include at least one secondary constituent (16). Preferably, the secondary constituents (16) are selected from the group consisting of at least diamond powder, boron carbide (B.sub.4 C) powder and mixtures thereof.

  3. Diamond Wire Cutting of the Tokamak Fusion Test Reactor

    International Nuclear Information System (INIS)

    Keith Rule; Erik Perry; Robert Parsells

    2003-01-01

    The Tokamak Fusion Test Reactor (TFTR) is a one-of-a-kind, tritium-fueled fusion research reactor that ceased operation in April 1997. As a result, decommissioning commenced in October 1999. The 100 cubic meter volume of the donut-shaped reactor makes it the second largest fusion reactor in the world. The deuterium-tritium experiments resulted in contaminating the vacuum vessel with tritium and activating the materials with 14 MeV neutrons. The total tritium content within the vessel is in excess of 7,000 Curies, while dose rates approach 50 mRem/hr. These radiological hazards along with the size of the tokamak present a unique and challenging task for dismantling. Engineers at the Princeton Plasma Physics Laboratory (PPPL) decided to investigate an alternate, innovative approach for dismantlement of the TFTR vacuum vessel: diamond wire cutting technology. In August 1999, this technology was successfully demonstrated and evaluated on vacuum vessel surrogates. Subsequently, the technology was improved and redesigned for the actual cutting of the vacuum vessel. Ten complete cuts were performed in a 6-month period to complete the removal of this unprecedented type of DandD (Decontamination and Decommissioning) activity

  4. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  5. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  6. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    Science.gov (United States)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  7. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  8. Thermal applications of low-pressure diamond

    International Nuclear Information System (INIS)

    Haubner, R.; Lux, B.

    1997-01-01

    During the last decade several applications of low-pressure diamond were developed. Main products are diamond heat-spreaders using its high thermal conductivity, diamond windows with their high transparency over a wide range of wavelengths and wear resistant tool coatings because of diamonds superhardness. A short description of the most efficient diamond deposition methods (microwave, DC-glow discharge, plasma-jet and arc discharge) is given. The production and applications of diamond layers with high thermal conductivity will be described. Problems of reproducibility of diamond deposition, the influence of impurities, the heat conductivity in electronic packages, reliability and economical mass production will be discussed. (author)

  9. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  10. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  11. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    International Nuclear Information System (INIS)

    Mengui, U.A.; Campos, R.A.; Alves, K.A.; Antunes, E.F.; Hamanaka, M.H.M.O.; Corat, E.J.; Baldan, M.R.

    2015-01-01

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films

  12. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    Energy Technology Data Exchange (ETDEWEB)

    Mengui, U.A., E-mail: ursulamengui@gmail.com [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Campos, R.A.; Alves, K.A.; Antunes, E.F. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Hamanaka, M.H.M.O. [Centro de Tecnologia da Informação Renato Archer, Divisão de Superfícies de Interação e Displays, Rodovia D. Pedro I (SP 65) km 143.6, CP 6162, CEP 13089-500, Campinas, SP (Brazil); Corat, E.J.; Baldan, M.R. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil)

    2015-04-15

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films.

  13. A wear simulation study of nanostructured CVD diamond-on-diamond articulation involving concave/convex mating surfaces

    Science.gov (United States)

    Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.

    2015-01-01

    Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457

  14. Diamond-based photoconductors for deep UV detection

    International Nuclear Information System (INIS)

    Balducci, A.; Bruzzi, M.; De Sio, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pace, E.; Pucella, G.; Santangelo, S.; Scoccia, M.; Scuderi, S.; Tucciarone, A.; Verona-Rinati, G.

    2006-01-01

    This work reports on the development and characterization of bi-dimensional deep-UV sensor arrays based on synthetic diamond to address the requirements of space-born astrophysical experiments. The material was synthesized at the University of Rome 'Tor Vergata' where both heteroepitaxial polycrystalline diamond films and homoepitaxial single-crystal diamonds are grown using a tubular MWCVD reactor. The quality of chemical vapour deposited diamond was characterized by cathodoluminescence, photoluminescence, Raman spectroscopy and thermally stimulated currents. Then, suitable samples were selected and used to fabricate photoconductive single-pixel and 2D array devices by evaporating metal contacts on the growth surface. The electro-optical characterization of the devices was carried out in a wide spectral region, ranging from 120 to 2400 nm. A deuterium lamp and a 0.5 m vacuum monochromator were used to measure the detector responsivity under continuous monochromatic irradiation in the 120-250 nm spectral range, while an optical parametric oscillator tunable laser producing 5 ns pulses was used as light source from 210 up to 2400 nm. Time response, signal-to-noise ratio, responsivity and visible rejection factor were evaluated and the results are hereafter summarized

  15. Diamond nanophotonics

    Directory of Open Access Journals (Sweden)

    Katja Beha

    2012-12-01

    Full Text Available We demonstrate the coupling of single color centers in diamond to plasmonic and dielectric photonic structures to realize novel nanophotonic devices. Nanometer spatial control in the creation of single color centers in diamond is achieved by implantation of nitrogen atoms through high-aspect-ratio channels in a mica mask. Enhanced broadband single-photon emission is demonstrated by coupling nitrogen–vacancy centers to plasmonic resonators, such as metallic nanoantennas. Improved photon-collection efficiency and directed emission is demonstrated by solid immersion lenses and micropillar cavities. Thereafter, the coupling of diamond nanocrystals to the guided modes of micropillar resonators is discussed along with experimental results. Finally, we present a gas-phase-doping approach to incorporate color centers based on nickel and tungsten, in situ into diamond using microwave-plasma-enhanced chemical vapor deposition. The fabrication of silicon–vacancy centers in nanodiamonds by microwave-plasma-enhanced chemical vapor deposition is discussed in addition.

  16. Surface hardening of optic materials by deposition of diamond like carbon coatings from separated plasma of arc discharge

    Science.gov (United States)

    Osipkov, A. S.; Bashkov, V. M.; Belyaeva, A. O.; Stepanov, R.; Mironov, Y. M.; Galinovsky, A. L.

    2015-02-01

    This article considers the issue of strengthening of optic materials used in the IR spectrum by deposition of diamond like carbon coatings from separated plasma arc discharge. The report shows results of tests of bare and strengthened optical materials such as BaF2, MgF2, Si, Ge, including the testing of their strength and spectral characteristics. Results for the determination of optical constants for the DLC coatings deposited on substrates of Ge and Si, by using separated plasma, are also presented. Investigations showed that surface hardening of optical materials operable in the IR range, by the deposition of diamond like carbon coating onto their surface, according to this technology, considerably improves operational properties and preserves or improves their optic properties.

  17. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  18. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  19. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  20. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  1. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  2. Raman Microscopic Analysis of Internal Stress in Boron-Doped Diamond

    Directory of Open Access Journals (Sweden)

    Kevin E. Bennet

    2015-05-01

    Full Text Available Analysis of the induced stress on undoped and boron-doped diamond (BDD thin films by confocal Raman microscopy is performed in this study to investigate its correlation with sample chemical composition and the substrate used during fabrication. Knowledge of this nature is very important to the issue of long-term stability of BDD coated neurosurgical electrodes that will be used in fast-scan cyclic voltammetry, as potential occurrence of film delaminations and dislocations during their surgical implantation can have unwanted consequences for the reliability of BDD-based biosensing electrodes. To achieve a more uniform deposition of the films on cylindrically-shaped tungsten rods, substrate rotation was employed in a custom-built chemical vapor deposition reactor. In addition to visibly preferential boron incorporation into the diamond lattice and columnar growth, the results also reveal a direct correlation between regions of pure diamond and enhanced stress. Definite stress release throughout entire film thicknesses was found in the current Raman mapping images for higher amounts of boron addition. There is also a possible contribution to the high values of compressive stress from sp2 type carbon impurities, besides that of the expected lattice mismatch between film and substrate.

  3. Recent Advances in Diamond Detectors

    CERN Document Server

    Trischuk, W.

    2008-01-01

    With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2012, ATLAS and CMS are planning for detector upgrades for their innermost layers requiring radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is now planned for all LHC experiments. This material is now being considered as an alternate sensor for use very close to the interaction region of the super LHC where the most extreme radiation conditions will exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences available. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8 x 10^16 protons/cm^2 showing that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve allowing one t...

  4. [The change of bacterial adhesion during deposition nitrogen-diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Xiao, Yun

    2011-10-01

    The aim of this study was to observe the change of bacterial adhesion on pure titanium coated with nitrogen-diamond like carbon (N-DLC) films and to guide the clinical application. N-DLC was deposited on titanium using ion plating machine, TiN film, anodic oxide film and non-deposition were used as control, then made specimens adhering on the surface of resin denture base for 6 months. The adhesion of Saccharomyces albicans on the titanium surface was observed using scanning electron microscope, and the roughness was tested by roughness detector. The number of Saccharomyces albicans adhering on diamond-like carbon film was significantly less than on the other groups (P DLC film was less than other group (P coated with N-DLC film reduced the adhesion of Saccharomyces albicans after clinical application, thereby reduced the risk of denture stomatitis.

  5. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  6. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  7. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  8. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  9. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  10. Direct Coating of Nanocrystalline Diamond on Steel

    Science.gov (United States)

    Tsugawa, Kazuo; Kawaki, Shyunsuke; Ishihara, Masatou; Hasegawa, Masataka

    2012-09-01

    Nanocrystalline diamond films have been successfully deposited on stainless steel substrates without any substrate pretreatments to promote diamond nucleation, including the formation of interlayers. A low-temperature growth technique, 400 °C or lower, in microwave plasma chemical vapor deposition using a surface-wave plasma has cleared up problems in diamond growth on ferrous materials, such as the surface graphitization, long incubation time, substrate softening, and poor adhesion. The deposited nanocrystalline diamond films on stainless steel exhibit good adhesion and tribological properties, such as a high wear resistance, a low friction coefficient, and a low aggression strength, at room temperature in air without lubrication.

  11. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  12. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  13. Novel diamond-coated tools for dental drilling applications.

    Science.gov (United States)

    Jackson, M J; Sein, H; Ahmed, W; Woodwards, R

    2007-01-01

    The application of diamond coatings on cemented tungsten carbide (WC-Co) tools has been the subject of much attention in recent years in order to improve cutting performance and tool life in orthodontic applications. WC-Co tools containing 6% Co metal and 94% WC substrate with an average grain size of 1 - 3 microm were used in this study. In order to improve the adhesion between diamond and WC substrates it is necessary to etch cobalt from the surface and prepare it for subsequent diamond growth. Alternatively, a titanium nitride (TiN) interlayer can be used prior to diamond deposition. Hot filament chemical vapour deposition (HFCVD) with a modified vertical filament arrangement has been employed for the deposition of diamond films to TiN and etched WC substrates. Diamond film quality and purity has been characterized using scanning electron microscopy (SEM) and micro Raman spectroscopy. The performances of diamond-coated WC-Co tools, uncoated WC-Co tools, and diamond embedded (sintered) tools have been compared by drilling a series of holes into various materials such as human tooth, borosilicate glass, and acrylic tooth materials. Flank wear has been used to assess the wear rates of the tools when machining biomedical materials such as those described above. It is shown that using an interlayer such as TiN prior to diamond deposition provides the best surface preparation for producing dental tools.

  14. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  15. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  16. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  17. Diamond Nucleation Using Polyethene

    Science.gov (United States)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  18. Influence of electroformation regime on the specific properties of cobalt oxide‒platinum composite films deposited on conductive diamond

    Energy Technology Data Exchange (ETDEWEB)

    Spătaru, Tanţa; Osiceanu, Petre; Preda, Loredana; Munteanu, Cornel [Institute of Physical Chemistry “Ilie Murgulescu”, 202 Spl. Independenţei 060021, Bucharest (Romania); Spătaru, Nicolae, E-mail: nspataru@icf.ro [Institute of Physical Chemistry “Ilie Murgulescu”, 202 Spl. Independenţei 060021, Bucharest (Romania); Fujishima, Akira [Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku Tokyo 162-8601 (Japan)

    2014-04-01

    Two straightforward electrochemical methods were used in the present work for depositing cobalt oxide-platinum composite films on boron-doped diamond substrates in order to put into evidence the effect of the electroformation regime on the morphological and electrochemical features of these hybrid systems. The shift from potentiostatic to potentiodynamic deposition enabled not only a significant improvement of the Pt particles dispersion but also a much higher surface concentration of oxygenated species of platinum. For similar Co{sub 3}O{sub 4} and Pt loadings, the specific capacitance of the composite films deposited by cyclic voltammetry was with ca. 8% higher than that of the potentiostatically obtained ones. Additional advantage of potentiodynamic deposition is the improved resistance to fouling during methanol anodic oxidation of Pt particles, tentatively ascribed to the higher surface concentration of oxygenated species of platinum. - Highlights: • Cobalt oxide-platinum composite films were electrodeposited on conductive diamond. • Composite films formed by cyclic voltammetry exhibit enhanced specific capacitance. • Potentiodynamic deposition enables higher concentration of oxygenated Pt species. • Co{sub 3}O{sub 4}–Pt films prepared by cyclic voltammetry are less susceptible to CO poisoning.

  19. Optical properties of bias-induced CH sub 4 -H sub 2 plasma for diamond film deposition

    CERN Document Server

    Zhu, X D; Zhou, H Y; Wen, X H; Li, D

    2002-01-01

    Methane (CH sub 4) and hydrogen (H sub 2) reactive gas mixture has been in situ investigated in a hot filament diamond chemical vapor deposition reactor with a negatively variable biasing voltage applied to the hot filament with respect to the substrate using infrared absorption spectroscopy and optical emission spectroscopy. It is found that CH sub 4 converts increasingly to C sub 2 H sub 2 upon raising the filament temperature in a pure thermal activation state, no optical emission of species is observed. Upon bias application, both CH sub 4 and C sub 2 H sub 2 in infrared (IR) absorption intensity decrease with increasing bias current, even the IR absorption intensity of C sub 2 H sub 2 decreases more rapidly than that of CH sub 4. Meanwhile, the clear emission lines indexed to H, CH, and CH sup + appear in the optical emission spectrum obtained, showing that a large amount of excited radicals are produced in the gas phase after applying bias. It is believed that the further generation of activated radical...

  20. Sandblasting induced stress release and enhanced adhesion strength of diamond films deposited on austenite stainless steel

    Science.gov (United States)

    Li, Xiao; Ye, Jiansong; Zhang, Hangcheng; Feng, Tao; Chen, Jianqing; Hu, Xiaojun

    2017-08-01

    We firstly used sandblasting to treat austenite stainless steel and then deposited a Cr/CrN interlayer by close field unbalanced magnetron sputtering on it. After that, diamond films were prepared on the interlayer. It is found that the sandblasting process induces phase transition from austenite to martensite in the surface region of the stainless steel, which decreases thermal stress in diamond films due to lower thermal expansion coefficient of martensite phase compared with that of austenite phase. The sandblasting also makes stainless steel's surface rough and the Cr/CrN interlayer film inherits the rough surface. This decreases the carburization extent of the interlayer, increases nucleation density and modifies the stress distribution. Due to lower residual stress and small extent of the interlayer's carburization, the diamond film on sandblast treated austenite stainless steel shows enhanced adhesion strength.

  1. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  2. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  3. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  4. HFCVD Diamond-Coated Mechanical Seals

    Directory of Open Access Journals (Sweden)

    Raul Simões

    2018-05-01

    Full Text Available A mechanical seal promotes the connection between systems or mechanisms, preventing the escape of fluids to the exterior. Nonetheless, due to extreme working conditions, premature failure can occur. Diamond, due to its excellent properties, is heralded as an excellent choice to cover the surface of these devices and extend their lifetime. Therefore, the main objective of this work was to deposit diamond films over mechanical seals and test the coated seals on a water pump, under real working conditions. The coatings were created by hot filament chemical vapor deposition (HFCVD and two consecutive layers of micro- and nanocrystalline diamond were deposited. One of the main difficulties is the attainment of a good adhesion between the diamond films and the mechanical seal material (WC-Co. Nucleation, deposition conditions, and pre-treatments were studied to enhance the coating. Superficial wear or delamination of the film was investigated using SEM and Raman characterization techniques, in order to draw conclusions about the feasibility of these coatings in the WC-Co mechanical seals with the purpose of increasing their performance and life time. The results obtained gave a good indication about the feasibility of this process and the deposition conditions used, with the mechanical seals showing no wear and no film delamination after a real work environment test.

  5. Thin diamond films for tribological applications

    International Nuclear Information System (INIS)

    Wong, M.S.; Meilunas, R.; Ong, T.P.; Chang, R.P.H.

    1989-01-01

    Diamond films have been deposited on Si, Mo and many other substrates by microwave and radio frequency plasma enhanced chemical vapor deposition. Although the adhesion between the diamond film and most of the metal substrates is poor due to residual thermal stress from the mismatch of thermal expansion coefficients, the authors have developed processes to promote the growth of uniform and continuous diamond films with enhanced adhesion to metal substrates for tribological applications. The tribological properties of these films are measured using a ring-on-block tribotester. The coefficients of friction of diamond films sliding against a 52100 steel ring under the same experimental conditions are found to be significantly different depending on the morphology, grain size and roughness of the diamond films. However, under all cases tested, it is found that for uniform and continuous diamond films with small grain size of 1-3 micrometers, the coefficient of friction of the diamond film sliding against a steel ring under lubrication of a jet of mineral oil is about 0.04

  6. Simulation optimization of filament parameters for uniform depositions of diamond films on surfaces of ultra-large circular holes

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xinchang, E-mail: wangxinchangz@163.com; Shen, Xiaotian; Sun, Fanghong; Shen, Bin

    2016-12-01

    Highlights: • A verified simulation model using a novel filament arrangement is constructed. • Influences of filament parameters are clarified. • A coefficient between simulated and experimental results is proposed. • Orthogonal simulations are adopted to optimize filament parameters. • A general filament arrangement suitable for different conditions is determined. - Abstract: Chemical vapor deposition (CVD) diamond films have been widely applied as protective coatings on varieties of anti-frictional and wear-resistant components, owing to their excellent mechanical and tribological properties close to the natural diamond. In applications of some components, the inner hole surface will serve as the working surface that suffers severe frictional or erosive wear. It is difficult to realize uniform depositions of diamond films on surfaces of inner holes, especially ultra-large inner holes. Adopting a SiC compact die with an aperture of 80 mm as an example, a novel filament arrangement with a certain number of filaments evenly distributed on a circle is designed, and specific effects of filament parameters, including the filament number, arrangement direction, filament temperature, filament diameter, circumradius and the downward translation, on the substrate temperature distribution are studied by computational fluid dynamics (CFD) simulations based on the finite volume method (FVM), adopting a modified computational model well consistent with the actual deposition environment. Corresponding temperature measurement experiments are also conducted to verify the rationality of the computational model. From the aspect of depositing uniform boron-doped micro-crystalline, undoped micro-crystalline and undoped fine-grained composite diamond (BDM-UMC-UFGCD) film on such the inner hole surface, filament parameters as mentioned above are accurately optimized and compensated by orthogonal simulations. Moreover, deposition experiments adopting compensated optimized

  7. Perfect alignment and preferential orientation of nitrogen-vacancy centers during chemical vapor deposition diamond growth on (111) surfaces

    International Nuclear Information System (INIS)

    Michl, Julia; Zaiser, Sebastian; Jakobi, Ingmar; Waldherr, Gerald; Dolde, Florian; Neumann, Philipp; Wrachtrup, Jörg; Teraji, Tokuyuki; Doherty, Marcus W.; Manson, Neil B.; Isoya, Junichi

    2014-01-01

    Synthetic diamond production is a key to the development of quantum metrology and quantum information applications of diamond. The major quantum sensor and qubit candidate in diamond is the nitrogen-vacancy (NV) color center. This lattice defect comes in four different crystallographic orientations leading to an intrinsic inhomogeneity among NV centers, which is undesirable in some applications. Here, we report a microwave plasma-assisted chemical vapor deposition diamond growth technique on (111)-oriented substrates, which yields perfect alignment (94% ± 2%) of as-grown NV centers along a single crystallographic direction. In addition, clear evidence is found that the majority (74% ± 4%) of the aligned NV centers were formed by the nitrogen being first included in the (111) growth surface and then followed by the formation of a neighboring vacancy on top. The achieved homogeneity of the grown NV centers will tremendously benefit quantum information and metrology applications

  8. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  9. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  10. Increased charge storage capacity of titanium nitride electrodes by deposition of boron-doped nanocrystalline diamond films

    DEFF Research Database (Denmark)

    Meijs, Suzan; McDonald, Matthew; Sørensen, Søren

    2015-01-01

    The aim of this study was to investigate the feasibility of depositing a thin layer of boron-doped nanocrystalline diamond (B-NCD) on titanium nitride (TiN) coated electrodes and the effect this has on charge injection properties. The charge storage capacity increased by applying the B-NCD film...

  11. Cold cathodes on ultra-dispersed diamond base

    International Nuclear Information System (INIS)

    Alimova, A.N.; Zhirnov, V.V.; Chubun, N.N.; Belobrov, P.I.

    1998-01-01

    Prospects of application of nano diamond powders for fabrication of cold cathodes are discussed.Cold cathodes based on silicon pointed structures with nano diamond coatings were prepared.The deposition technique of diamond coating was dielectrophoresis from suspension of nano diamond powder in organic liquids.The cathodes were tested in sealed prototypes of vacuum electronic devices

  12. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  13. Comparison of diamond growth with different gas mixtures in microwave plasma asssited chemical vapor deposition (MWCVD

    Directory of Open Access Journals (Sweden)

    Corat Evaldo J.

    2003-01-01

    Full Text Available In this work we study the influence of oxygen addition to several halocarbon-hydrogen gas systems. Diamond growth have been performed in a high power density MWCVD reactor built in our laboratory. The growth experiments are monitored by argon actinometry as a reference to plasma temperature and atomic hydrogen production, and by mass spectrometry to compare the exhaust gas composition. Atomic hydrogen actinometry revealed that the halogen presence in the gas phase is responsible for a considerable increase of atomic hydrogen concentration in the gas phase. Mass spectrometry shows similar results for all gas mixtures tested. Growth studies with oxygen addition to CF4/H2, CCl4/H2, CCl2F2/H2 and CH3Cl/H2 reveals that oxygen increases the carbon solubility in the gas phase but no better diamond growth conditions were found. Halogens are not, per se, eligible for diamond growth. All the possible advantages, as the higher production of atomic hydrogen, have been suppressed by the low carbon solubility in the gas phase, even when oxygen is added. The diamond growth with small amount of CF4 added to CH4/H2 mixture is not aggressive to the apparatus but brings several advantages to the process.

  14. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  15. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  16. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  17. Deposition and microstructure of Ti-containing diamond-like carbon nanocomposite films

    International Nuclear Information System (INIS)

    Yang, Won Jae; Sekino, Tohru; Shim, Kwang Bo; Niihara, Koichi; Auh, Keun Ho

    2005-01-01

    Ti-containing diamond-like carbon (DLC) films were deposited by plasma decomposition of CH 4 /Ar gas mixtures with an introduction of tetrakis(dimethylamino)titanium (TDMAT, Ti[(CH 3 ) 2 N] 4 ), which was used as a precursor of titanium. The films deposited were found to be nanocomposite coatings consisting of TiN nanocrystalline clusters and amorphous hydrocarbon (a-C:H), indicating that the nanocrystalline clusters were embedded in the DLC matrix. The crystallinity of TiN clusters, as well as the Ti atomic concentrations in the films, increased with an increase of substrate temperature. The substrate temperature applied to form a crystalline phase in the DLC matrix induced a graphitization of amorphous hydrocarbon matrix. The increase of volume fraction of TiN nanocrystalline clusters in the DLC matrix enhanced the mechanical properties of nanostructured coatings, although the graphite-like structural transition of DLC matrix happened due to the applied heating

  18. Ohmic contacts to semiconducting diamond

    Science.gov (United States)

    Zeidler, James R.; Taylor, M. J.; Zeisse, Carl R.; Hewett, C. A.; Delahoussaye, Paul R.

    1990-10-01

    Work was carried out to improve the electron beam evaporation system in order to achieve better deposited films. The basic system is an ion pumped vacuum chamber, with a three-hearth, single-gun e-beam evaporator. Four improvements were made to the system. The system was thoroughly cleaned and new ion pump elements, an e-gun beam adjust unit, and a more accurate crystal monitor were installed. The system now has a base pressure of 3 X 10(exp -9) Torr, and can easily deposit high-melting-temperature metals such as Ta with an accurately controlled thickness. Improved shadow masks were also fabricated for better alignment and control of corner contacts for electrical transport measurements. Appendices include: A Thermally Activated Solid State Reaction Process for Fabricating Ohmic Contacts to Semiconducting Diamond; Tantalum Ohmic Contacts to Diamond by a Solid State Reaction Process; Metallization of Semiconducting Diamond: Mo, Mo/Au, and Mo/Ni/Au; Specific Contact Resistance Measurements of Ohmic Contracts to Diamond; and Electrical Activation of Boron Implanted into Diamond.

  19. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  20. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    Energy Technology Data Exchange (ETDEWEB)

    Strąkowska, Paulina [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Beutner, René [Max Bergmann Center, Technische Universität Dresden (Germany); Gnyba, Marcin [Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Zielinski, Andrzej [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Scharnweber, Dieter, E-mail: Dieter.Scharnweber@tu-dresden.de [Max Bergmann Center, Technische Universität Dresden (Germany)

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  1. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    International Nuclear Information System (INIS)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-01-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  2. Neutron spectrometry by diamond detector for nuclear radiation

    International Nuclear Information System (INIS)

    Kozlov, S.F.; Konorova, E.A.; Barinov, A.L.; Jarkov, V.P.

    1975-01-01

    Experiments on fast neutron spectrometry using the nuclear radiation diamond detector inside a horizontal channel of a water-cooled and water-moderated reactor are described. It is shown that the diamond detector enables neutron spectra to be measured within the energy range of 0.3 to 10 MeV against reactor gamma-radiation background and has radiation resistance higher than that of conventional semiconductor detectors. (U.S.)

  3. Ionization signals from diamond detectors in fast-neutron fields

    Energy Technology Data Exchange (ETDEWEB)

    Weiss, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); CIVIDEC Instrumentation, Wien (Austria); Frais-Koelbl, H. [University of Applied Sciences, Wiener Neustadt (Austria); Griesmayer, E.; Kavrigin, P. [CIVIDEC Instrumentation, Wien (Austria); Vienna University of Technology, Wien (Austria)

    2016-09-15

    In this paper we introduce a novel analysis technique for measurements with single-crystal chemical vapor deposition (sCVD) diamond detectors in fast-neutron fields. This method exploits the unique electronic property of sCVD diamond sensors that the signal shape of the detector current is directly proportional to the initial ionization profile. In fast-neutron fields the diamond sensor acts simultaneously as target and sensor. The interaction of neutrons with the stable isotopes {sup 12}C and {sup 13}C is of interest for fast-neutron diagnostics. The measured signal shapes of detector current pulses are used to identify individual types of interactions in the diamond with the goal to select neutron-induced reactions in the diamond and to suppress neutron-induced background reactions as well as γ-background. The method is verified with experimental data from a measurement in a 14.3 MeV neutron beam at JRC-IRMM, Geel/Belgium, where the {sup 13}C(n, α){sup 10}Be reaction was successfully extracted from the dominating background of recoil protons and γ-rays and the energy resolution of the {sup 12}C(n, α){sup 9}Be reaction was substantially improved. The presented analysis technique is especially relevant for diagnostics in harsh radiation environments, like fission and fusion reactors. It allows to extract the neutron spectrum from the background, and is particularly applicable to neutron flux monitoring and neutron spectroscopy. (orig.)

  4. Diamond bio electronics.

    Science.gov (United States)

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  5. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  6. Surface smoothening effects on growth of diamond films

    Science.gov (United States)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  7. Optical and electrical properties of boron doped diamond thin conductive films deposited on fused silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, M.; Sobaszek, M.; Gnyba, M. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Gołuński, Ł. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Smietana, M.; Jasiński, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa St., 00-662 Warsaw (Poland); Caban, P. [Institute of Electronic Materials Technology, 133 Wolczynska St., 01-919 Warsaw (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, CA 91125 (United States)

    2016-11-30

    Highlights: • Growth of 60% of transmittance diamond films with resistivity as low as 48 Ω cm. • Two step seeding process of fused silica: plasma hydrogenation and wet seeding. • Nanodiamond seeding density of 2 × 10{sup 10} cm{sup −2} at fused silica substrates. • High refractive index (2.4 @550 nm) was achieved for BDD films deposited at 500 °C. - Abstract: This paper presents boron-doped diamond (BDD) film as a conductive coating for optical and electronic purposes. Seeding and growth processes of thin diamond films on fused silica have been investigated. Growth processes of thin diamond films on fused silica were investigated at various boron doping level and methane admixture. Two step pre-treatment procedure of fused silica substrate was applied to achieve high seeding density. First, the substrates undergo the hydrogen plasma treatment then spin-coating seeding using a dispersion consisting of detonation nanodiamond in dimethyl sulfoxide with polyvinyl alcohol was applied. Such an approach results in seeding density of 2 × 10{sup 10} cm{sup −2}. The scanning electron microscopy images showed homogenous, continuous and polycrystalline surface morphology with minimal grain size of 200 nm for highly boron doped films. The sp{sup 3}/sp{sup 2} ratio was calculated using Raman spectra deconvolution method. A high refractive index (range of 2.0–2.4 @550 nm) was achieved for BDD films deposited at 500 °C. The values of extinction coefficient were below 0.1 at λ = 550 nm, indicating low absorption of the film. The fabricated BDD thin films displayed resistivity below 48 Ohm cm and transmittance over 60% in the visible wavelength range.

  8. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  9. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  10. COPDIRC - calculation of particle deposition in reactor coolants

    International Nuclear Information System (INIS)

    Reeks, M.W.

    1982-06-01

    A description is given of a computer code COPDIRC intended for the calculation of the deposition of particulate onto smooth perfectly sticky surfaces in a gas cooled reactor coolant. The deposition is assumed to be limited by transport in the boundary layer adjacent to the depositing surface. This implies that the deposition velocity normalised with respect to the local friction velocity, is an almost universal function of the normalised particle relaxation time. Deposition is assumed similar to deposition in an equivalent smooth perfectly absorbing pipe. The deposition is calculated using 2 models. (author)

  11. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  12. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  13. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  14. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  15. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  16. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  17. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  18. Giant Negative Piezoresistive Effect in Diamond-like Carbon and Diamond-like Carbon-Based Nickel Nanocomposite Films Deposited by Reactive Magnetron Sputtering of Ni Target

    DEFF Research Database (Denmark)

    Meškinis, Šaru Nas; Gudaitis, Rimantas; Šlapikas, Kęstutis

    2018-01-01

    deposited by either reactive HIPIMS or dc magnetron sputtering of Ni target was explained by possible clustering of the sp2-bonded carbon and/or formation of areas with the decreased hydrogen content. It was suggested that the tensile stress-induced rearrangements of these conglomerations have resulted......Piezoresistive properties of hydrogenated diamond-like carbon (DLC) and DLC-based nickel nanocomposite (DLC:Ni) films were studied in the range of low concentration of nickel nanoparticles. The films were deposited by reactive high power pulsed magnetron sputtering (HIPIMS) of Ni target, and some...... samples were deposited by direct current (dc) reactive magnetron sputtering for comparison purposes. Raman scattering spectroscopy, energy-dispersive X-ray spectrometry (EDS), and X-ray photoelectron spectroscopy (XPS) were used to study the structure and chemical composition of the films. A four...

  19. High energy ion beam induced modifications in diamond and diamond like carbon thin films

    International Nuclear Information System (INIS)

    Dilawar, N.; Sah, S.; Mehta, B.R.; Vankar, V.D.

    1996-01-01

    Diamond and DLC films deposited using hot-filament chemical vapour deposition technique at various parameters were irradiated with 50 MeV Si 4+ ions. The resulting microstructural changes were studied using X-ray diffraction and scanning electron microscopy. All the samples showed the development of β-SiC and hexagonal carbon phases at the expense of the diamond/DLC phase. The ERD analysis was carried out to determine the hydrogen concentration and its distribution in DLC films. The absolute hydrogen concentration in DLC samples is of the order of 10 22 atoms/cm 3 which gets depleted on irradiation. The DLC samples show a clear dependence of hydrogen content on the deposition parameters. (author)

  20. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    Science.gov (United States)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  1. Status and applications of diamond and diamond-like materials: An emerging technology

    Science.gov (United States)

    1990-01-01

    Recent discoveries that make possible the growth of crystalline diamond by chemical vapor deposition offer the potential for a wide variety of new applications. This report takes a broad look at the state of the technology following from these discoveries in relation to other allied materials, such as high-pressure diamond and cubic boron nitride. Most of the potential defense, space, and commercial applications are related to diamond's hardness, but some utilize other aspects such as optical or electronic properties. The growth processes are reviewed, and techniques for characterizing the resulting materials' properties are discussed. Crystalline diamond is emphasized, but other diamond-like materials (silicon carbide, amorphous carbon containing hydrogen) are also examined. Scientific, technical, and economic problem areas that could impede the rapid exploitation of these materials are identified. Recommendations are presented covering broad areas of research and development.

  2. Design and investigation of properties of nanocrystalline diamond optical planar waveguides.

    Science.gov (United States)

    Prajzler, Vaclav; Varga, Marian; Nekvindova, Pavla; Remes, Zdenek; Kromka, Alexander

    2013-04-08

    Diamond thin films have remarkable properties comparable with natural diamond. Because of these properties it is a very promising material for many various applications (sensors, heat sink, optical mirrors, chemical and radiation wear, cold cathodes, tissue engineering, etc.) In this paper we report about design, deposition and measurement of properties of optical planar waveguides fabricated from nanocrystalline diamond thin films. The nanocrystalline diamond planar waveguide was deposited by microwave plasma enhanced chemical vapor deposition and the structure of the deposited film was studied by scanning electron microscopy and Raman spectroscopy. The design of the presented planar waveguides was realized on the bases of modified dispersion equation and was schemed for 632.8 nm, 964 nm, 1 310 nm and 1 550 nm wavelengths. Waveguiding properties were examined by prism coupling technique and it was found that the diamond based planar optical element guided one fundamental mode for all measured wavelengths. Values of the refractive indices of our NCD thin film measured at various wavelengths were almost the same as those of natural diamond.

  3. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  4. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  5. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  6. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  7. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  8. Diamond as a scaffold for bone growth.

    Science.gov (United States)

    Fox, Kate; Palamara, Joseph; Judge, Roy; Greentree, Andrew D

    2013-04-01

    Diamond is an attractive material for biomedical implants. In this work, we investigate its capacity as a bone scaffold. It is well established that the bioactivity of a material can be evaluated by examining its capacity to form apatite-like calcium phosphate phases on its surface when exposed to simulated body fluid. Accordingly, polycrystalline diamond (PCD) and ultrananocrystalline diamond (UNCD) deposited by microwave plasma chemical vapour deposition were exposed to simulated body fluid and assessed for apatite growth when compared to the bulk silicon. Scanning electron microscopy and X-ray photoelectron spectroscopy showed that both UNCD and PCD are capable of acting as a bone scaffold. The composition of deposited apatite suggests that UNCD and PCD are suitable for in vivo implantation with UNCD possible favoured in applications where rapid osseointegration is essential.

  9. Iron Oxide Nanoparticles Employed as Seeds for the Induction of Microcrystalline Diamond Synthesis

    Directory of Open Access Journals (Sweden)

    Resto Oscar

    2008-01-01

    Full Text Available AbstractIron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. X-ray diffraction, visible, and ultraviolet Raman Spectroscopy, energy-filtered transmission electron microscopy , electron energy-loss spectroscopy, and X-ray photoelectron spectroscopy (XPS were employed to study the carbon bonding nature of the films and to analyze the carbon clustering around the seed nanoparticles leading to diamond synthesis. The results indicate that iron oxide nanoparticles lose the O atoms, becoming thus active C traps that induce the formation of a dense region of trigonally and tetrahedrally bonded carbon around them with the ensuing precipitation of diamond-type bonds that develop into microcrystalline diamond films under chemical vapor deposition conditions. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  10. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  11. Metastable State Diamond Growth and its Applications to Electronic Devices.

    Science.gov (United States)

    Jeng, David Guang-Kai

    Diamond which consists of a dense array of carbon atoms joined by strong covalent bonds and formed into a tetrahedral crystal structure has remarkable mechanical, thermal, optical and electrical properties suitable for many industrial applications. With a proper type of doping, diamond is also an ideal semiconductor for high performance electronic devices. Unfortunately, natural diamond is rare and limited by its size and cost, it is not surprising that people continuously look for a synthetic replacement. It was believed for long time that graphite, another form of carbon, may be converted into diamond under high pressure and temperature. However, the exact condition of conversion was not clear. In 1939, O. I. Leipunsky developed an equilibrium phase diagram between graphite and diamond based on thermodynamic considerations. In the phase diagram, there is a low temperature (below 1000^ circC) and low pressure (below 1 atm) region in which diamond is metastable and graphite is stable, therefore establishes the conditions for the coexistence of the two species. Leipunsky's pioneer work opened the door for diamond synthesis. In 1955, the General Electric company (GE) was able to produce artificial diamond at 55k atm pressure and a temperature of 2000^ circC. Contrary to GE, B. Derjaguin and B. V. Spitzyn in Soviet Union, developed a method of growing diamonds at 1000^circC and at a much lower pressure in 1956. Since then, researchers, particularly in Soviet Union, are continuously looking for methods to grow diamond and diamond film at lower temperatures and pressures with slow but steady progress. It was only in the early 80's that the importance of growing diamond films had attracted the attentions of researchers in the Western world and in Japan. Recent progress in plasma physics and chemical vapor deposition techniques in integrated electronics technology have pushed the diamond growth in its metastable states into a new era. In this research, a microwave plasma

  12. Short-range order in irradiated diamonds

    International Nuclear Information System (INIS)

    Agafonov, S.S.; Glazkov, V.P.; Nikolaenko, V.A.; Somenkov, V.A.

    2005-01-01

    Structural changes in irradiated diamond with a change in its density were studied. Natural diamond powders with average particle size from 14-20 μm to 0.5 mm, irradiated in beryllium block of the MR reactor up to a fluence of 1.51 x 10 21 were used as samples. Using the neutron-diffraction method, it has been established that, when density in irradiated diamonds varies, a transition from a diamond-like amorphous structure to a graphite-like structure occurs. The transition occurs at a density ρ ∼ 2.7-2.9 g/cm 3 and is accompanied by a sharp change in resistivity [ru

  13. A comparative machining study of diamond-coated tools made by ...

    Indian Academy of Sciences (India)

    The successful implementation of diamond coatings also expedited similar research in the deposition of cubic boron nitride. This paper presents superhard coating tools, with emphasis on diamond-coated WC–Co tools, the corresponding deposition of technologies and the foreseen metal-cutting applications.

  14. Transparent nanocrystalline diamond coatings and devices

    Science.gov (United States)

    Sumant, Anirudha V.; Khan, Adam

    2017-08-22

    A method for coating a substrate comprises producing a plasma ball using a microwave plasma source in the presence of a mixture of gases. The plasma ball has a diameter. The plasma ball is disposed at a first distance from the substrate and the substrate is maintained at a first temperature. The plasma ball is maintained at the first distance from the substrate, and a diamond coating is deposited on the substrate. The diamond coating has a thickness. Furthermore, the diamond coating has an optical transparency of greater than about 80%. The diamond coating can include nanocrystalline diamond. The microwave plasma source can have a frequency of about 915 MHz.

  15. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  16. Fabrication of Diamond Based Sensors for Use in Extreme Environments

    Directory of Open Access Journals (Sweden)

    Gopi K. Samudrala

    2015-04-01

    Full Text Available Electrical and magnetic sensors can be lithographically fabricated on top of diamond substrates and encapsulated in a protective layer of chemical vapor deposited single crystalline diamond. This process when carried out on single crystal diamond anvils employed in high pressure research is termed as designer diamond anvil fabrication. These designer diamond anvils allow researchers to study electrical and magnetic properties of materials under extreme conditions without any possibility of damaging the sensing elements. We describe a novel method for the fabrication of designer diamond anvils with the use of maskless lithography and chemical vapor deposition in this paper. This method can be utilized to produce diamond based sensors which can function in extreme environments of high pressures, high and low temperatures, corrosive and high radiation conditions. We demonstrate applicability of these diamonds under extreme environments by performing electrical resistance measurements during superconducting transition in rare earth doped iron-based compounds under high pressures to 12 GPa and low temperatures to 10 K.

  17. Spontaneous growth of whiskers from an interlayer of Mo sub 2 C beneath a diamond particle deposited in a combustion-flame

    Energy Technology Data Exchange (ETDEWEB)

    Okada, Katsuyuki; Komatsu, Shojiro; Ishigaki, Takamasa; Matsumoto, Seiichiro; Moriyoshi, Yusuke (National Inst. for Research in Inorganic Materials, Tsukuba, Ibaraki (Japan))

    1992-02-01

    When diamond particles deposited on a molybdenum substrate in a C{sub 2}H{sub -}O{sub 2} combustion-flame were kept for one year in the ambient atmosphere at room temperature, spontaneous whisker growth from an interlayer of Mo{sub 2}C beneath the diamond particles took place. The whiskers were clarified by electron probe micro-analysis (EPMA) and transmission electron microscopy (TEM) in a polycrystal composed of MoO{sub 2}, MoOC, and Mo{sub 2}C. The growth mechanism of them is discussed from two different points of view as follows: One is that the oxidation of an interlayer of Mo{sub 2}C beneath a diamond particle effectively reduces the surface free energy between the interlayer and diamond particle; consequently, the whisker can grow by using a screw dislocation. The other is that the internal stress existing between a diamond particle and an Mo{sub 2}C interlayer provides a very reactive zone where the growth of whisker takes place through the oxidation of Mo{sub 2}C. (orig.).

  18. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  19. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  20. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  1. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  2. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  3. Alluvial diamond resource potential and production capacity assessment of Mali

    Science.gov (United States)

    Chirico, Peter G.; Barthelemy, Francis; Kone, Fatiaga

    2010-01-01

    In May of 2000, a meeting was convened in Kimberley, South Africa, and attended by representatives of the diamond industry and leaders of African governments to develop a certification process intended to assure that rough, exported diamonds were free of conflictual concerns. This meeting was supported later in 2000 by the United Nations in a resolution adopted by the General Assembly. By 2002, the Kimberley Process Certification Scheme (KPCS) was ratified and signed by diamond-producing and diamond-importing countries. Over 70 countries were included as members of the KPCS at the end of 2007. To prevent trade in "conflict diamonds" while protecting legitimate trade, the KPCS requires that each country set up an internal system of controls to prevent conflict diamonds from entering any imported or exported shipments of rough diamonds. Every diamond or diamond shipment must be accompanied by a Kimberley Process (KP) certificate and be contained in tamper-proof packaging. The objective of this study was (1) to assess the naturally occurring endowment of diamonds in Mali (potential resources) based on geological evidence, previous studies, and recent field data and (2) to assess the diamond-production capacity and measure the intensity of mining activity. Several possible methods can be used to estimate the potential diamond resource. However, because there is generally a lack of sufficient and consistent data recording all diamond mining in Mali and because time to conduct fieldwork and accessibility to the diamond mining areas are limited, four different methodologies were used: the cylindrical calculation of the primary kimberlitic deposits, the surface area methodology, the volume and grade approach, and the content per kilometer approach. Approximately 700,000 carats are estimated to be in the alluvial deposits of the Kenieba region, with 540,000 carats calculated to lie within the concentration grade deposits. Additionally, 580,000 carats are estimated to have

  4. Diamond-like carbon prepared by pulsed laser deposition with ion bombardment: physical properties

    Science.gov (United States)

    Písařík, P.; Mikšovský, J.; Remsa, J.; Zemek, J.; Tolde, Z.; Jelínek, M.

    2018-01-01

    Diamond-like carbon (DLC) and titanium-doped DLC thin films were prepared by unique hybrid system consisting of pulsed laser deposition, ion source (bombardment) and magnetron sputtering. The influence of deposition parameters (ion energies, deposition pressures and magnetron power) on composition and physical properties was studied. Composition and sp 3/ sp 2 ratio were determined by XPS. sp 3/ sp 2 ratio was in the range from 1.4 to 2.2 for undoped DLC and from 3.4 to 4.8 for Ti-DLC. AFM showed that the layers were smooth, but with small amounts of random droplets. The measurements of the contact angle and determination of surface free energy were made for water, diiodomethane and ethylene glycol. Hardness and reduced Young's modulus varied from 20 to 31 GPa and from 182 to 276 GPa, respectively. Film adhesion was determined by scratch test; L C3 reached 23 N for DLC and 27 N for TiDLC. Optimization of sp 3/ sp 2 ratio, hardness and adhesion to biomedical alloys will advance the DLC coatings usability in the field of implantology.

  5. Diamond films deposited by oxygen-enhanced linear plasma chemistry

    Czech Academy of Sciences Publication Activity Database

    Kromka, Alexander; Babchenko, Oleg; Ižák, Tibor; Varga, Marián; Davydova, Marina; Krátká, Marie; Rezek, Bohuslav

    2013-01-01

    Roč. 5, č. 6 (2013), s. 509-514 ISSN 2164-6627 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional support: RVO:68378271 Keywords : diamond films * process gas chemistry * pulsed microwave plasma * surface conductivity of diamond Subject RIV: BM - Solid Matter Physics ; Magnetism

  6. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  7. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  8. Co-electrodeposition of hard Ni-W/diamond nanocomposite coatings

    Science.gov (United States)

    Zhang, Xinyu; Qin, Jiaqian; Das, Malay Kumar; Hao, Ruru; Zhong, Hua; Thueploy, Adisak; Limpanart, Sarintorn; Boonyongmaneerat, Yuttanant; Ma, Mingzhen; Liu, Riping

    2016-02-01

    Electroplated hard chrome coating is widely used as a wear resistant coating to prolong the life of mechanical components. However, the electroplating process generates hexavalent chromium ion which is known carcinogen. Hence, there is a major effort throughout the electroplating industry to replace hard chrome coating. Composite coating has been identified as suitable materials for replacement of hard chrome coating, while deposition coating prepared using traditional co-deposition techniques have relatively low particles content, but the content of particles incorporated into a coating may fundamentally affect its properties. In the present work, Ni-W/diamond composite coatings were prepared by sediment co-electrodeposition from Ni-W plating bath, containing suspended diamond particles. This study indicates that higher diamond contents could be successfully co-deposited and uniformly distributed in the Ni-W alloy matrix. The maximum hardness of Ni-W/diamond composite coatings is found to be 2249 ± 23 Hv due to the highest diamond content of 64 wt.%. The hardness could be further enhanced up to 2647 ± 25 Hv with heat treatment at 873 K for 1 h in Ar gas, which is comparable to hard chrome coatings. Moreover, the addition of diamond particles could significantly enhance the wear resistance of the coatings.

  9. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  10. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  11. Microscopical examination of carbon deposits formed in the Windscale advanced gas cooled reactor

    International Nuclear Information System (INIS)

    Livesey, D.J.; Chatwin, W.H.; Pearce, J.H.

    1980-12-01

    Methods are described of sampling and examining carbon deposits on fuel cladding in the Windscale advanced gas-cooled reactor. Deposition is observed on fuel cladding in both the reactor core and experimental loops in carbon dioxide coolants containing various amounts of carbon monoxide and methane. Deposit distribution over the cladding surface indicated that nucleation is dependent on local surface conditions. Microscopical examination showed that deposit thickness increases by carbon filament growth into the coolant gas stream and that the process can be markedly influenced by metallic impurities. There is evidence that nickel can play a particularly significant role in deposition in loop experiments but similar effects have not been observed in the reactor core. (author)

  12. Role of hydrotreating products in deposition of fine particles in reactors

    Energy Technology Data Exchange (ETDEWEB)

    Wang, S.; Chung, K.; Gray, M.R. [University of Alberta, Edmonton, AB (Canada). Dept. of Chemical and Materials Engineering

    2001-06-11

    Hydrotreating reactions may affect the deposition of fine particles, which can eventually lead to reactor plugging. The deposition of fine particles from gas oil was measured in an internally recirculating reactor at 375{degree}C under hydrogen. H{sub 2}S from hydrodesulfurization would convert corrosion products to metal sulfides. Iron sulfide deposited rapidly in the packed bed because the mineral surface did not retain a stabilizing layer of asphaltenic material. Addition of water, to test the role of hydrodeoxygenation, doubled the deposition of clay particles by reducing the surface coating of organic material. Neither ammonia or quinoline had any effect on particle deposition, therefore, hydrodenitrogenation did not affect particle behavior. 16 refs., 4 figs., 3 tabs.

  13. Gas Nozzle Effect on the Deposition of Polysilicon by Monosilane Siemens Reactor

    Directory of Open Access Journals (Sweden)

    Seung Oh Kang

    2012-01-01

    Full Text Available Deposition of polysilicon (poly-Si was tried to increase productivity of poly-Si by using two different types of gas nozzle in a monosilane Bell-jar Siemens (MS-Siemens reactor. In a mass production of poly-Si, deposition rate and energy consumption are very important factors because they are main performance indicators of Siemens reactor and they are directly related with the production cost of poly-Si. Type A and B nozzles were used for investigating gas nozzle effect on the deposition of poly-Si in a MS-Siemens reactor. Nozzle design was analyzed by computation cluid dynamics (CFD. Deposition rate and energy consumption of poly-Si were increased when the type B nozzle was used. The highest deposition rate was 1 mm/h, and the lowest energy consumption was 72 kWh⋅kg-1 in this study.

  14. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  15. Copper-micrometer-sized diamond nanostructured composites

    International Nuclear Information System (INIS)

    Nunes, D; Livramento, V; Fernandes, H; Silva, C; Carvalho, P A; Shohoji, N; Correia, J B

    2011-01-01

    Reinforcement of a copper matrix with diamond enables tailoring the properties demanded for thermal management applications at high temperature, such as the ones required for heat sink materials in low activated nuclear fusion reactors. For an optimum compromise between thermal conductivity and mechanical properties, a novel approach based on multiscale diamond dispersions is proposed: a Cu-nanodiamond composite produced by milling is used as a nanostructured matrix for further dispersion of micrometer-sized diamondDiamond). A series of Cu-nanodiamond mixtures have been milled to establish a suitable nanodiamond fraction. A refined matrix with homogeneously dispersed nanoparticles was obtained with 4 at.% μDiamond for posterior mixture with microdiamond and subsequent consolidation. Preliminary consolidation by hot extrusion of a mixture of pure copper and μDiamond has been carried out to define optimal processing parameters. The materials produced were characterized by x-ray diffraction, scanning and transmission electron microscopy and microhardness measurements.

  16. The deposit of Oklo and its natural nuclear reactors

    International Nuclear Information System (INIS)

    Gauthier-Lafaye, F.; Weber, F.; Pfiffelmann, J.P.; Chauvet, R.; Michel, B.; Reboul, J.C.

    1980-01-01

    In the uranium deposit of Oklo (Republic of Gabon), seven zones have been discovered since 1972, in which natural fission reactions took place. Since 1974, a thorough geological study of these zones has been undertaken. It includes field studies, observations of drilled samples and laboratory studies. These studies permit the authors to define the geological environment of the reactors and to point out the influence of nuclear reactions on the surrounding formations. All this work was completed by a geological and metallogenic study of the deposit of Oklo and of the uraniferous basin of Franceville. The deposit of Oklo is situated in a detrital, sandstone-like and pelitic series belonging to the Francevillian. The Francevillian and the mineralization are dated as Middle Precambrian (1800-2000 M.A.). The ore of Oklo is the result of two concentration stages. In the first, uranium seems to have been fixed by hydrocarbons that were concentrated in oil traps. After a tectonic event, circulations of oxidizing solutions generated reconcentrations that are associated with hematite and have contents of UO 2 between 1 and 20%. The fission reactions developed in the high-graded ores which had formed during the last phase of UO 2 concentration. A thorough tectonic analysis of the ore deposit shows that high-graded ores and fission reactors are controlled by fractures. The working of nuclear reactors results in a local increase of temperature which gave a rise to circulation of warm water. The results of this hydrothermal circulation and of the neutron bombardment are seen in a succession of facies surrounding the reactors. At the centre of the reactor all sedimentary structures have been destroyed; within the reaction zone the following clays mineral zones are founded: (1) 1 Md illite and ferrous chlorite corresponding to the common Francevillian sediment; (2) 2 Md illite, (3) magnesium chlorite and (4) 1 Md illite and chlorite-vermiculite in the very rich uraninite ore

  17. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  18. Encapsulation of electroless copper patterns into diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Pimenov, S.M.; Shafeev, G.A.; Lavrischev, S.V. [General Physics Institute, Moscow (Russian Federation)] [and others

    1995-12-31

    The results are reported on encapsulating copper lines into diamond films grown by a DC plasma CVD. The process includes the steps of (i) laser activation of diamond for electroless metal plating, (ii) electroless copper deposition selectively onto the activated surface regions, and (iii) diamond regrowth on the Cu-patterned diamond films. The composition and electrical properties of the encapsulated copper lines were examined, revealing high purity and low electrical resistivity of the encapsulated electroless copper.

  19. Photoluminescent properties of single crystal diamond microneedles

    Science.gov (United States)

    Malykhin, Sergey A.; Ismagilov, Rinat R.; Tuyakova, Feruza T.; Obraztsova, Ekaterina A.; Fedotov, Pavel V.; Ermakova, Anna; Siyushev, Petr; Katamadze, Konstantin G.; Jelezko, Fedor; Rakovich, Yury P.; Obraztsov, Alexander N.

    2018-01-01

    Single crystal needle-like diamonds shaped as rectangular pyramids were produced by combination of chemical vapor deposition and selective oxidation with dimensions and geometrical characteristics depending on the deposition process parameters. Photoluminescence spectra and their dependencies on wavelength of excitation radiation reveal presence of nitrogen- and silicon-vacancy color centers in the diamond crystallites. Photoluminescence spectra, intensity mapping, and fluorescence lifetime imaging microscopy indicate that silicon-vacancy centers are concentrated at the crystallites apex while nitrogen-vacancy centers are distributed over the whole crystallite. Dependence of the photoluminescence on excitation radiation intensity demonstrates saturation and allows estimation of the color centers density. The combination of structural parameters, geometry and photoluminescent characteristics are prospective for advantageous applications of these diamond crystallites in quantum information processing and optical sensing.

  20. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  1. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  2. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  3. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  4. Single-crystal and polycrystalline diamond erosion studies in Pilot-PSI

    Science.gov (United States)

    Kogut, D.; Aussems, D.; Ning, N.; Bystrov, K.; Gicquel, A.; Achard, J.; Brinza, O.; Addab, Y.; Martin, C.; Pardanaud, C.; Khrapak, S.; Cartry, G.

    2018-03-01

    Diamond is a promising candidate for enhancing the negative-ion surface production in the ion sources for neutral injection in fusion reactors; hence evaluation of its reactivity towards hydrogen plasma is of high importance. Single crystal and polycrystalline diamond samples were exposed in Pilot-PSI with the D+ flux of (4‒7)·1024 m-2s-1 and the impact energy of 7-9 eV per deuteron at different surface temperatures; under such conditions physical sputtering is negligible, however chemical sputtering is important. Net chemical sputtering yield Y = 9.7·10-3 at/ion at 800 °C was precisely measured ex-situ using a protective platinum mask (5 × 10 × 2 μm) deposited beforehand on a single crystal followed by the post-mortem analysis using Transmission Electron Microscopy (TEM). The structural properties of the exposed diamond surface were analyzed by Raman spectroscopy and X-ray Photoelectron Spectroscopy (XPS). Gross chemical sputtering yields were determined in-situ by means of optical emission spectroscopy of the molecular CH A-X band for several surface temperatures. A bell-shaped dependence of the erosion yield versus temperature between 400 °C and 1200 °C was observed, with a maximum yield of ∼1.5·10-2 at/ion attained at 900 °C. The yields obtained for diamond are relatively high (0.5-1.5)·10-2 at/ion, comparable with those of graphite. XPS analysis shows amorphization of diamond surface within 1 nm depth, in a good agreement with molecular dynamics (MD) simulation. MD was also applied to study the hydrogen impact energy threshold for erosion of [100] diamond surface at different temperatures.

  5. [Influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Yao, Jiang-wu; Xu, De-wen

    2010-10-01

    The aim of this study was to observed the influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating (N-DLC) on pure titanium by multi impulse are plasma plating machine. Applying multi impulse are plasma plating machine to produce TiN coatings on pure titanium in nitrogen atmosphere, then filming with nitrogen-doped DLC on TiN in methane (10-80 min in every 5 min). The colors of N-DLC were evaluated in the CIE1976 L*a*b* uniform color scale and Mussell notation. The surface morphology of every specimen was analyzed using scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). When changing the time of N-DLC coating deposition, N-DLC surface showed different color. Golden yellow was presented when deposition time was 30 min. SEM showed that crystallization was found in N-DLC coatings, the structure changed from stable to clutter by varying the deposition time. The chromatics of N-DLC coatings on pure titanium could get golden yellow when deposition time was 30 min, then the crystallized structure was stable.

  6. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  7. Development of reliable diamond window for EC launcher on fusion reactors

    International Nuclear Information System (INIS)

    Takahashi, K.; Illy, S.; Heidinger, R.; Kasugai, A.; Minami, R.; Sakamoto, K.; Thumm, M.; Imai, T.

    2005-01-01

    In order to avoid a possible accidental event of a diamond window, i.e. a leakage of cooling water into vacuum, a new diamond window with a copper (Cu)-coated edge was developed. The 0.5 mm thick Cu-coating completely covers the window disk edge and aluminum braze, between the diamond disk edge and the inconel cuffs cooled by water. Corrosion of the aluminum braze can also be prevented by the Cu-coating. A 170 GHz high power RF transmission experiment, which was indicative for a MW-level transmission, was carried out to investigate the cooling capability of the Cu-coated window. RF power/pulse length 55 kW/3.5 s and 120 kW/3 s, were transmitted through the window without any problem. Temperature increase of 50 and 100 o C were obtained, respectively. The results agree with thermal calculations with loss tangent 8.5 x 10 -4 and thermal conductivity 1.9 kW/(m K) of the diamond. Thermal and stress analysis show that no serious stress between the diamond disk and the Cu-coating is established. It concludes that a diamond window with Cu-coated edge water-cooling is capable of MW-level transmission and that the Cu-coating improves the reliability of the diamond window

  8. Time history of diesel particle deposition in cylindrical dielectric barrier discharge reactors

    Energy Technology Data Exchange (ETDEWEB)

    Talebizadeh, P.; Rahimzadeh, H., E-mail: rahimzad@aut.ac.ir [Amirkabir University of Technology, Department of Mechanical Engineering (Iran, Islamic Republic of); Ahmadi, G. [Clarkson University, Department of Mechanical and Aeronautical Engineering (United States); Brown, R. [Queensland University of Technology, Biofuel Engine Research Facility (Australia); Inthavong, K. [RMIT University, School of Aerospace, Mechanical and Manufacturing Engineering (Australia)

    2016-12-15

    Non-thermal plasma (NTP) treatment reactors have recently been developed for elimination of diesel particulate matter for reducing both the mass and number concentration of particles. The role of the plasma itself is obscured by the phenomenon of particle deposition on the reactor surface. Therefore, in this study, the Lagrangian particle transport model is used to simulate the dispersion and deposition of nano-particles in the range of 5 to 500 nm in a NTP reactor in the absence of an electric field. A conventional cylindrical dielectric barrier discharge reactor is selected for the analysis. Brownian diffusion, gravity and Saffman lift forces were included in the simulations, and the deposition efficiencies of different sized diesel particles were studied. The results show that for the studied particle diameters, the effect of Saffman lift is negligible and gravity only affects the motion of particles with a diameter of 500 nm or larger. Time histories of particle transport and deposition were evaluated for one-time injection and a continuous (multiple-time) injection. The results show that the number of deposited particles for one-time injection is identical to the number of deposited particles for multiple-time injections when adjusted with the shift in time. Furthermore, the maximum number of escaped particles occurs at 0.045 s after the injection for all particle diameters. The presented results show that some particle reduction previously ascribed to plasma treatment has ignored contributions from the surface deposition.

  9. Time history of diesel particle deposition in cylindrical dielectric barrier discharge reactors

    International Nuclear Information System (INIS)

    Talebizadeh, P.; Rahimzadeh, H.; Ahmadi, G.; Brown, R.; Inthavong, K.

    2016-01-01

    Non-thermal plasma (NTP) treatment reactors have recently been developed for elimination of diesel particulate matter for reducing both the mass and number concentration of particles. The role of the plasma itself is obscured by the phenomenon of particle deposition on the reactor surface. Therefore, in this study, the Lagrangian particle transport model is used to simulate the dispersion and deposition of nano-particles in the range of 5 to 500 nm in a NTP reactor in the absence of an electric field. A conventional cylindrical dielectric barrier discharge reactor is selected for the analysis. Brownian diffusion, gravity and Saffman lift forces were included in the simulations, and the deposition efficiencies of different sized diesel particles were studied. The results show that for the studied particle diameters, the effect of Saffman lift is negligible and gravity only affects the motion of particles with a diameter of 500 nm or larger. Time histories of particle transport and deposition were evaluated for one-time injection and a continuous (multiple-time) injection. The results show that the number of deposited particles for one-time injection is identical to the number of deposited particles for multiple-time injections when adjusted with the shift in time. Furthermore, the maximum number of escaped particles occurs at 0.045 s after the injection for all particle diameters. The presented results show that some particle reduction previously ascribed to plasma treatment has ignored contributions from the surface deposition.

  10. Diamond detector technology: status and perspectives

    CERN Document Server

    Kagan, Harris; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H P; Bellini,, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; De Boer, W; Dehning, B; Dorfer, C; Dunser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Mcfadden, N; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Reichmann, M; Riley, G; Roe, S; Sanz, D; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Schreiner, T; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Taylor, Aaron; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, Stephen; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2017-01-01

    The status of material development of poly-crystalline chemical vapor deposition (CVD) diamond is presented. We also present beam test results on the independence of signal size on incident par-ticle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition the first beam test results from 3D detectors made with poly-crystalline CVD diamond are presented. Finally the first analysis of LHC data from the ATLAS Diamond Beam Monitor (DBM) which is based on pixelated poly-crystalline CVD diamond sensors bump-bonded to pixel readout elec-tronics is shown.

  11. Diamond electrophoretic microchips-Joule heating effects

    International Nuclear Information System (INIS)

    Karczemska, Anna T.; Witkowski, Dariusz; Ralchenko, Victor; Bolshakov, Andrey; Sovyk, Dmitry; Lysko, Jan M.; Fijalkowski, Mateusz; Bodzenta, Jerzy; Hassard, John

    2011-01-01

    Microchip electrophoresis (MCE) has become a mature separation technique in the recent years. In the presented research, a polycrystalline diamond electrophoretic microchip was manufactured with a microwave plasma chemical vapour deposition (MPCVD) method. A replica technique (mould method) was used to manufacture microstructures in diamond. A numerical analysis with CoventorWare TM was used to compare thermal properties during chip electrophoresis of diamond and glass microchips of the same geometries. Temperature distributions in microchips were demonstrated. Thermal, electrical, optical, chemical and mechanical parameters of the polycrystalline diamond layers are advantageous over traditionally used materials for microfluidic devices. Especially, a very high thermal conductivity coefficient gives a possibility of very efficient dissipation of Joule heat from the diamond electrophoretic microchip. This enables manufacturing of a new generation of microdevices.

  12. Diamond electrophoretic microchips-Joule heating effects

    Energy Technology Data Exchange (ETDEWEB)

    Karczemska, Anna T., E-mail: anna.karczemska@p.lodz.pl [Technical University of Lodz, Institute of Turbomachinery, 219/223 Wolczanska str., Lodz (Poland); Witkowski, Dariusz [Technical University of Lodz, Institute of Turbomachinery, 219/223 Wolczanska str., Lodz (Poland); Ralchenko, Victor, E-mail: ralchenko@nsc.gpi.ru [General Physics Institute, Russian Academy of Science, 38 Vavilov str., Moscow (Russian Federation); Bolshakov, Andrey; Sovyk, Dmitry [General Physics Institute, Russian Academy of Science, 38 Vavilov str., Moscow (Russian Federation); Lysko, Jan M., E-mail: jmlysko@ite.waw.pl [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Fijalkowski, Mateusz, E-mail: petr.louda@vslib.cz [Technical University of Liberec, Faculty of Mechanical Engineering (Czech Republic); Bodzenta, Jerzy, E-mail: jerzy.bodzenta@polsl.pl [Silesian University of Technology, Institute of Physics, 2 Krzywoustego str., 44-100 Gliwice (Poland); Hassard, John, E-mail: j.hassard@imperial.ac.uk [Imperial College of Science, Technology and Medicine, London (United Kingdom)

    2011-03-15

    Microchip electrophoresis (MCE) has become a mature separation technique in the recent years. In the presented research, a polycrystalline diamond electrophoretic microchip was manufactured with a microwave plasma chemical vapour deposition (MPCVD) method. A replica technique (mould method) was used to manufacture microstructures in diamond. A numerical analysis with CoventorWare{sup TM} was used to compare thermal properties during chip electrophoresis of diamond and glass microchips of the same geometries. Temperature distributions in microchips were demonstrated. Thermal, electrical, optical, chemical and mechanical parameters of the polycrystalline diamond layers are advantageous over traditionally used materials for microfluidic devices. Especially, a very high thermal conductivity coefficient gives a possibility of very efficient dissipation of Joule heat from the diamond electrophoretic microchip. This enables manufacturing of a new generation of microdevices.

  13. Power deposition distribution in liquid lead cooled fission reactors and effects on the reactor thermal behaviour

    International Nuclear Information System (INIS)

    Cevolani, S.; Nava, E.; Burn, K. W.

    2001-01-01

    In the framework of an ADS study (Accelerator Driven System, a reactor cooled by a lead bismuth alloy) the distribution of the deposited energy between the fuel, coolant and structural materials was evaluated by means of Monte Carlo calculations. The energy deposition in the coolant turned out to be about four percent of the total deposited energy. In order to study this effect, further calculations were performed on water and sodium cooled reactors. Such an analysis showed, for both coolant materials, a much lower heat deposition, about one percent. Based on such results, a thermohydraulic analysis was performed in order to verify the effect of this phenomenon on the fuel assembly temperature distribution. The main effect of a significant fraction of energy deposition in the coolant is concerned with the decrease of the fuel pellet temperature. As a consequence, taking into account this effect allows to increase the possibilities of optimization at the disposal of the designer [it

  14. Spectroscopic diagnostics and modeling of Ar/H2/CH4 microwave discharges used for nanocrystalline diamond deposition

    International Nuclear Information System (INIS)

    Lombardi, G.; Hassouni, K.; Benedic, F.; Mohasseb, F.; Roepcke, J.; Gicquel, A.

    2004-01-01

    In this paper Ar/H 2 /CH 4 microwave discharges used for nanocrystalline diamond chemical vapor deposition in a bell-jar cavity reactor were characterized by both experimental and modeling investigations. Discharges containing 1% CH 4 and H 2 percentages ranging between 2% and 7% were analyzed as a function of the input microwave power under a pressure of 200 mbar. Emission spectroscopy and broadband absorption spectroscopy were carried out in the UV-visible spectral range in order to estimate the gas temperature and the C 2 density within the plasma. Infrared tunable diode laser absorption spectroscopy was achieved in order to measure the mole fractions of carbon-containing species such as CH 4 , C 2 H 2 , and C 2 H 6 . A thermochemical model was developed and used in order to estimate the discharge composition, the gas temperature, and the average electron energy in the frame of a quasihomogeneous plasma assumption. Experiments and calculations yielded consistent results with respect to plasma temperature and composition. A relatively high gas temperature ranging between 3000 and 4000 K is found for the investigated discharge conditions. The C 2 density estimated from both experiments and modeling are quite high compared with what is generally reported in the literature for the same kind of plasma system. It ranges between 10 13 and 10 14 cm -3 in the investigated power range. Infrared absorption measurements and model predictions indicate quite low densities of methane and acetylene, while the atomic carbon density calculated by the model ranges between 10 13 and 10 15 cm -3 . The methane and hydrogen introduced in the feed gas are subject to a strong dissociation, which results in a surprisingly high H-atom population with mole fraction ranging between 0.04 and 0.16. Result analysis shows that the power coupling efficiency would range between 70% and 90%, which may at least explain the relatively high values obtained, as compared with those reported in the

  15. Diamond network: template-free fabrication and properties.

    Science.gov (United States)

    Zhuang, Hao; Yang, Nianjun; Fu, Haiyuan; Zhang, Lei; Wang, Chun; Huang, Nan; Jiang, Xin

    2015-03-11

    A porous diamond network with three-dimensionally interconnected pores is of technical importance but difficult to be produced. In this contribution, we demonstrate a simple, controllable, and "template-free" approach to fabricate diamond networks. It combines the deposition of diamond/β-SiC nanocomposite film with a wet-chemical selective etching of the β-SiC phase. The porosity of these networks was tuned from 15 to 68%, determined by the ratio of the β-SiC phase in the composite films. The electrochemical working potential and the reactivity of redox probes on the diamond networks are similar to those of a flat nanocrystalline diamond film, while their surface areas are hundreds of times larger than that of a flat diamond film (e.g., 490-fold enhancement for a 3 μm thick diamond network). The marriage of the unprecedented physical/chemical features of diamond with inherent advantages of the porous structure makes the diamond network a potential candidate for various applications such as water treatment, energy conversion (batteries or fuel cells), and storage (capacitors), as well as electrochemical and biochemical sensing.

  16. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  17. Diamond growth in oxygen-acetylene flame

    International Nuclear Information System (INIS)

    Haga, Mario S.; Nagai, Y. Ernesto; Suzuki, Carlos K.

    1995-01-01

    What was supposed to be a laboratory curiosity in the 80's, in recent years the low pressure process for the production of man-made diamond turned out to be a major target for research and development of many high-tech companies. The main reason for such an interest stems on the possibility of coating many materials with a diamond film possessing the same amazing properties of the bulk natural diamond. Polycrystalline diamond film has been deposited on Mo substrate by using oxygen-acetylene flame of a welding torch. The substrate temperature has been held constant about 700 d eg C by means of a water cooled mount designed properly. Precision flowmeters have been used to control the flow ratio oxygen/acetylene, a key parameter for the success in diamond growth. Diamond has been detected by X-ray diffraction, a fast foolproof technique for crystal identification. Another method of analysis often used in Raman spectroscopy, which is able to exhibit amorphous structure besides crystalline phase. (author)

  18. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  19. PREFACE: Science's gem: diamond science 2009 Science's gem: diamond science 2009

    Science.gov (United States)

    Mainwood, Alison; Newton, Mark E.; Stoneham, Marshall

    2009-09-01

    diamond's exceptional properties for quantum information processing [2], a topic on which there have been many recent papers, and where a diamond colour centre single photon source is already commercially available. Biomedical applications of diamond are recognised, partly tribological and partly electrochemical, but lie outside the present group of papers. Processing and controlling diamond surfaces and interfaces with other materials in their environment are critical steps en route to exploitation. Boron-doped diamond has already found application in electro-analysis and in the bulk oxidation of dissolved species in solution [3]. Energy-related applications—ranging from high-power electronics [3] to a potential first wall of fusion reactors [4]—are further exciting potential applications. Even small and ugly diamonds have value. Their mechanical properties [5] dominate, with significant niche applications such as thermal sinks. The major applications for diamond to date exploit only a fraction of diamond's special properties: visual for status diamonds, and mechanical for working diamonds. Diamond physics reaches well beyond the usual laboratory, to the geological diamond formation processes in the Earth's mantle. Characterization of natural gem diamonds [6, 7] is one part of the detective story that allows us to understand the conditions under which they formed. It was only half a century ago that the scientific and technological challenges of diamond synthesis were met systematically. Today, most of the recent research on diamond has concentrated on synthetics, whether created using high pressure, high temperature (HPHT) techniques or chemical vapour deposition (CVD). The HPHT synthesis of diamond has advanced dramatically [8, 9] to the extent that dislocation birefringence [10] can be largely eliminated. In silicon technology, the elimination of dislocations was a major step in microelectronics. Now, even diamond can be synthesised containing virtually no

  20. Structural properties and surface wettability of Cu-containing diamond-like carbon films prepared by a hybrid linear ion beam deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Peng; Sun, Lili; Li, Xiaowei [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Xu, Sheng [Gao Hong Coating Technology Co., Ltd, Huzhou 313000 (China); Ke, Peiling [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Wang, Aiying, E-mail: aywang@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2015-06-01

    Cu-containing diamond-like carbon (Cu-DLC) films were deposited on Si/glass substrate by a hybrid ion beam deposition system. The Cu concentration (0.1–39.7 at.%) in the film was controlled by varying the sputtering current. The microstructure and composition of Cu-DLC films were investigated systematically. The surface topography, roughness and surface wettability of the films were also studied. Results indicated that with increasing the Cu concentration, the water contact angle of the films changed from 66.8° for pure carbon film to more than 104.4° for Cu-DLC films with Cu concentration larger than 24.4 at.%. In the hydrophilic region, the polar surface energy decreased from 30.54 mJ/m{sup 2} for pure carbon film to 2.48 mJ/m{sup 2} for the film with Cu 7.0 at.%. - Highlights: • Cu-containing diamond-like carbon (DLC) films were deposited by a hybrid ion beam system. • Cu-containing DLC films exhibited a wide range of water contact angle. • The water contact angles vary with the surface energies and surface roughness.

  1. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  2. Diamond sensors for future high energy experiments

    Energy Technology Data Exchange (ETDEWEB)

    Bachmair, Felix, E-mail: bachmair@phys.ethz.ch

    2016-09-21

    With the planned upgrade of the LHC to High-Luminosity-LHC [1], the general purpose experiments ATLAS and CMS are planning to upgrade their innermost tracking layers with more radiation tolerant technologies. Chemical Vapor Deposition CVD diamond is one such technology. CVD diamond sensors are an established technology as beam condition monitors in the highest radiation areas of all LHC experiments. The RD42-collaboration at CERN is leading the effort to use CVD diamond as a material for tracking detectors operating in extreme radiation environments. An overview of the latest developments from RD42 is presented including the present status of diamond sensor production, a study of pulse height dependencies on incident particle flux and the development of 3D diamond sensors.

  3. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    Science.gov (United States)

    Catledge, Shane Aaron

    The primary focus of this dissertation is the investigation of the processing-structure-property relationships of diamond films deposited on Ti-6Al-4V alloy by microwave plasma chemical vapor deposition (MPCVD). By depositing a well-adhered protective layer of diamond on an alloy component, its hardness, wear-resistance, performance, and overall lifetime could be significantly increased. However, due to the large thermal expansion mismatch between the diamond film and metal (and the corresponding residual stress induced in the film), film adhesion is typically unsatisfactory and often results in immediate delamination after processing. Therefore, it is a major goal of this research to improve adhesion of the diamond film to the alloy substrate. Through the use of innovative processing techniques involving MPCVD deposition conditions and methane (CH4), nitrogen (N2), and hydrogen (H2) chemistry, we have achieved diamond films which consistently adhere to the alloy substrate. In addition, we have discovered that, with the appropriate choice of deposition conditions, the film structure can be tailored to range from highly crystalline, well-faceted diamond to nanocrystalline diamond with extremely low surface roughness (as low as 27 nm). The relationship between processing and structure was studied using in-situ optical emission spectroscopy, micro-Raman spectroscopy, surface profilometry, glancing-angle x-ray diffraction, and scanning electron microscopy. We observe that when nitrogen is added to the H2/CH4 feedgas mixture, a carbon-nitrogen (CN) emission band arises and its relative abundance to the carbon dimer (C2) gas species is shown to have a pronounced influence on the diamond film structure. By appropriate choice of deposition chemistry and conditions, we can tailor the diamond film structure and its corresponding properties. The mechanical properties of interest in this thesis are those relating to the integrity of the film/substrate interface, as well as the

  4. Characterization of boron doped nanocrystalline diamonds

    International Nuclear Information System (INIS)

    Peterlevitz, A C; Manne, G M; Sampaio, M A; Quispe, J C R; Pasquetto, M P; Iannini, R F; Ceragioli, H J; Baranauskas, V

    2008-01-01

    Nanostructured diamond doped with boron was prepared using a hot-filament assisted chemical vapour deposition system fed with an ethyl alcohol, hydrogen and argon mixture. The reduction of the diamond grains to the nanoscale was produced by secondary nucleation and defects induced by argon and boron atoms via surface reactions during chemical vapour deposition. Raman measurements show that the samples are nanodiamonds embedded in a matrix of graphite and disordered carbon grains, while morphological investigations using field electron scanning microscopy show that the size of the grains ranges from 20 to 100 nm. The lowest threshold fields achieved were in the 1.6 to 2.4 V/μm range

  5. Surface analytical investigation of diamond coatings and nucleation processes by secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Steiner, R.

    1993-10-01

    Imaging SIMS for the investigation of substrate surfaces: the influence of the substrate surface on diamond nucleation is a major topic in the investigation of the chemical vapour deposition (CVD) of diamond. It is well known that the nucleation density can be enhanced by scratching the substrate surface with abrasive powders. Diamond can nucleate at scratches or at residues of the polishing material. In the present work the surface of refractory metals (Mo, Nb, Ta, W) polished with silicon carbide and diamond powder is studied by imaging (2- or 3-D) secondary ion mass spectrometry (SIMS). In first experiments the distribution of SiC and/or diamond residues after polishing was determined. The reaction of diamond with the substrate during heating to deposition temperatures was investigated. Investigation of WC/Co hardmetal substrates: it is well known that Co contained in the binder phase of the hard metal inhibits a strong adhesion between the diamond film and the substrate, which is need for an application as cutting tool. Several attempts to improve the adhesion have been reported up to now. In this work a pre-treatment procedure leading to the formation of Co compounds (borides and silicides) which are stable under diamond deposition conditions were investigated. Furthermore, the application of intermediate sputter layers consisting of chromium and titanium were studied. Investigation of P-doped diamond coatings: in the quaternary phase diagram C-P-B-N exist some phases with diamond structure and superhard phases (e.g BP, c-BN). Also a hypothetical superhard phase of the composition C 3 N 4 is predicted. A scientific objective is the synthesis of such phases by chemical vapour deposition. An increase of the phosphorus concentration effects a distinct change in the morphology of the deposited coatings. A major advantage of SIMS is that the concentration profiles can be measured through the whole film, due to the sputter removal of the sample, and the interface

  6. Deposition of RuO 4 on various surfaces in a nuclear reactor containment

    Science.gov (United States)

    Holm, Joachim; Glänneskog, Henrik; Ekberg, Christian

    2009-07-01

    During a severe nuclear reactor accident with air ingress, ruthenium can be released from the nuclear fuel in the form of ruthenium tetroxide. Hence, it is important to investigate how the reactor containment is able to reduce the source term of ruthenium. The aim of this work was to investigate the deposition of gaseous ruthenium tetroxide on aluminium, copper and zinc, which all appear in relatively large amounts in reactor containment. The experiments show that ruthenium tetroxide is deposited on all the metal surfaces, especially on the copper and zinc surfaces. A large deposition of ruthenium tetroxide also appeared on the relatively inert glass surfaces in the experimental set-ups. The analyses of the different surfaces, with several analytical methods, showed that the form of deposited ruthenium was mainly ruthenium dioxide.

  7. Mechanical pretreatment for improved adhesion of diamond coatings

    International Nuclear Information System (INIS)

    Toenshoff, H.K.; Mohlfeld, A.; Gey, C.; Winkler, J.

    1999-01-01

    Diamond coatings are mainly used in cutting processes due to their tribological characteristics. They show a high hardness, low friction coefficient, high wear resistance and good chemical inertness. In relation to polycrystalline diamond (PCD)-tipped cutting inserts, especially the advantageous chemical stability of diamond coatings is superior as no binder phases between diamond grains are used. However, the deposition of adherent high-quality diamond coatings has been found difficult. Thus, substrate pretreatment is utilised to improve film adhesion. This investigation is based on water peening of the substrate material before coating. The investigation revealed best results for diamond film adhesion on pretreated substrates compared to conventional diamond coatings on cemented carbide tools applied with the CVD hot-filament process. In final cutting tests with increased film adhesion trough water peened cutting tools an improved wear behavior was detected. (orig.)

  8. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    International Nuclear Information System (INIS)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F; Hampai, D; Rossi, M

    2008-01-01

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications

  9. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F [Dipartimento di Scienze e Tecnologie Chimiche, MINASlab, Universita di Roma ' Tor Vergata' , Via della Ricerca Scientifica, 00133 Roma (Italy); Hampai, D [INFN-LNF Via E Fermi 40, Frascati (Italy); Rossi, M [Dipartimento di Energetica, Universita di Roma ' Sapienza' , Via Antonio Scarpa 16, 00161 Roma (Italy)

    2008-10-15

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong <110> preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications.

  10. Use of the diamond to the detection of particles

    International Nuclear Information System (INIS)

    Mer, C.; Tromson, D.; Brambilla, A.; Foulon, F.; Guizard, B.; Bergonzo

    2001-01-01

    Diamond synthesized by chemical vapor deposition (CVD) is a valuable material for the detection of particles: broad forbidden energy band, high mobility of electron-hole pairs, and a short life-time of charge carriers. Diamond layers have been used in alpha detectors or gamma dose ratemeters designed to be used in hostile environment. Diamond presents a high resistance to radiation and corrosion. The properties of diamond concerning the detection of particles are spoilt by the existence of crystal defects even in high quality natural or synthesized diamond. This article presents recent works that have been performed in CEA laboratories in order to optimize the use of CVD diamond in particle detectors. (A.C.)

  11. Investigation of element contents of natural diamond crystals of different gemological features by INAA and autoradiography

    International Nuclear Information System (INIS)

    Khamrayeva, D.S.; Ulugmuradov, S.; Didyk, A.Y.; Gasanov, M.; Solodova, J.P.; Sedova, E.A.

    2004-01-01

    Full text: The work presented aims at understanding the role of Co, Ni, Ti, Cr, Mn, Cu impurities on the natural diamond ( Type Ι ) quality, microstructure and morphology having different gemological features for identification their deposit. An according of the Kimberly Process there is Certification Scheme for regulating trade in diamonds to exclude 'bloody' diamonds. The 'four C's' criteria (color, clarity, carat weight, cut) had for decades been used by gemologists worldwide to evaluate precious gem diamonds. Those four parameters were believed to determine the value of the stones. Some 10 years ago gemologists added to those traditional criteria a fifth C, signifying Confidence. The role of the fifth C in pricing precious stones increased over time. An according of the Kimberly Process it is necessary to determine diamond deposit. Impurity content of natural diamonds is basic feature to for identification their deposit. We have used autoradiographic technique for investigation of spatial impurity distributions in natural diamond crystals. It is based on the secondary beta irradiation registration. Impurities were identified by energy lines of the gamma spectra obtained and by half-life periods. We bring information which allow to clarify the spatial distributions of Co, Ni, Ti, Cr, Mn, Cu impurities depended inner morphology of diamond crystal. It was established several types of impurity distributions depending from inner morphology of diamond crystals. Results of INAA and autoradiographic study of natural diamonds use for to make of international data for identification their deposit

  12. The bonding of protective films of amorphic diamond to titanium

    Science.gov (United States)

    Collins, C. B.; Davanloo, F.; Lee, T. J.; Jander, D. R.; You, J. H.; Park, H.; Pivin, J. C.

    1992-04-01

    Films of amorphic diamond can be deposited from laser plasma ions without the use of catalysts such as hydrogen or fluorine. Prepared without columnar patterns of growth, the layers of this material have been reported to have ``bulk'' values of mechanical properties that have suggested their usage as protective coatings for metals. Described here is a study of the bonding and properties realized in one such example, the deposition of amorphic diamond on titanium. Measurements with Rutherford backscattering spectrometry and transmission electron microscopy showed that the diamond coatings deposited from laser plasmas were chemically bonded to Ti substrates in 100-200-Å-thick interfacial layers containing some crystalline precipitates of TiC. Resistance to wear was estimated with a modified sand blaster and in all cases the coating was worn away without any rupture or deterioration of the bonding layer. Such wear was greatly reduced and lifetimes of the coated samples were increased by a factor of better than 300 with only 2.7 μm of amorphic diamond.

  13. Near-surface hydrogen depletion of diamond-like carbon films produced by direct ion deposition

    Science.gov (United States)

    Markwitz, Andreas; Gupta, Prasanth; Mohr, Berit; Hübner, René; Leveneur, Jerome; Zondervan, Albert; Becker, Hans-Werner

    2016-03-01

    Amorphous atomically flat diamond-like carbon (DLC) coatings were produced by direct ion deposition using a system based on a Penning ion source, butane precursor gas and post acceleration. Hydrogen depth profiles of the DLC coatings were measured with the 15N R-NRA method using the resonant nuclear reaction 1H(15N, αγ)12C (Eres = 6.385 MeV). The films produced at 3.0-10.5 kV acceleration voltage show two main effects. First, compared to average elemental composition of the film, the near-surface region is hydrogen depleted. The increase of the hydrogen concentration by 3% from the near-surface region towards the bulk is attributed to a growth model which favours the formation of sp2 hybridised carbon rich films in the film formation zone. Secondly, the depth at which the maximum hydrogen concentration is measured increases with acceleration voltage and is proportional to the penetration depth of protons produced by the ion source from the precursor gas. The observed effects are explained by a deposition process that takes into account the contributions of ion species, hydrogen effusion and preferential displacement of atoms during direct ion deposition.

  14. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  15. High performance diamond-like carbon layers obtained by pulsed laser deposition for conductive electrode applications

    Science.gov (United States)

    Stock, F.; Antoni, F.; Le Normand, F.; Muller, D.; Abdesselam, M.; Boubiche, N.; Komissarov, I.

    2017-09-01

    For the future, one of the biggest challenge faced to the technologies of flat panel display and various optoelectronic and photovoltaic devices is to find an alternative to the use of transparent conducting oxides like ITO. In this new approach, the objective is to grow high conductive thin-layer graphene (TLG) on the top of diamond-like carbon (DLC) layers presenting high performance. DLC prepared by pulsed laser deposition (PLD) have attracted special interest due to a unique combination of their properties, close to those of monocrystalline diamond, like its transparency, hardness and chemical inertia, very low roughness, hydrogen-free and thus high thermal stability up to 1000 K. In our future work, we plane to explore the synthesis of conductive TLG on top of insulating DLC thin films. The feasibility and obtained performances of the multi-layered structure will be explored in great details in the short future to develop an alternative to ITO with comparable performance (conductivity of transparency). To select the best DLC candidate for this purpose, we focus this work on the physicochemical properties of the DLC thin films deposited by PLD from a pure graphite target at two wavelengths (193 and 248 nm) at various laser fluences. A surface graphenization process, as well as the required efficiency of the complete structure (TLG/DLC) will clearly be related to the DLC properties, especially to the initial sp3/sp2 hybridization ratio. Thus, an exhaustive description of the physicochemical properties of the DLC layers is a fundamental step in the research of comparable performance to ITO.

  16. Panel 1 - comparative evaluation of deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R.; Stodolsky, F. [Argonne National Lab., IL (United States); Benson, D.K.; Pitts, R.J. [National Renewable Energy Lab., Golden, CO (United States); Bhat, D.G. [GTE Valenite Corp., Troy, MI (United States); Yulin Chen [Allison Gas Turbine Division, GM, Indianapolis, IN (United States); Gat, R.; Sunkara, M.K. [Case Western Reserve Univ., Cleveland, OH (United States); Kelly, M. [Stanford Univ., CA (United States); Lawler, J.E. [Univ. of Wisconsin, Madison (United States); Nagle, D.C. [Martin Marietta Labs., Baltimore, MD (United States); Outka, D. [Sandia National Laboratories, Livermore, CA (United States); Revankar, G.S. [Deere & Co., Moline, IL (United States); Subramaniam, V.V. [Ohio State Univ., Columbus (United States); Wilbur, P.J. [Colorado State Univ., Fort Collins (United States); Mingshow Wong [Northwestern Univ., Evanston, IL (United States); Woolam, W.E. [Southwest Research Inst., Arlington, VA (United States)

    1993-01-01

    This working group attempted to evaluate/compare the different types of deposition techniques currently under investigation for depositing diamond and diamond-like carbon films. A table lists the broad types of techniques that were considered for depositing diamond and diamond-like carbon films. After some discussion, it was agreed that any evaluation of the various techniques would be dependent on the end application. Thus the next action was to list the different areas where diamond and DLC films could find applications in transportation. These application areas are listed in a table. The table intentionally does not go into great detail on applications because that subject is dealt with specifically by Panel No. 4 - Applications To Transportation. The next action concentrated on identifying critical issues or limitations that need to be considered in evaluating the different processes. An attempt was then made to rank different broad categories of deposition techniques currently available or under development based on the four application areas and the limitations. These rankings/evaluations are given for diamond and DLC techniques. Finally, the working group tried to identify critical development and research issues that need to be incorporated into developing a long-term program that focuses on diamond/DLC coatings for transportation needs. 5 tabs.

  17. The development of diamond tracking detectors for the LHC

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2003-01-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved

  18. The development of diamond tracking detectors for the LHC

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, M; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Doroshenko, J; Dulinski, W; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Furetta, C; Gan, K K; Ghodbane, N; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Karl, C; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, M; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Marshall, R D; Meier, D; Menichelli, D; Meuser, S; Mishina, M; Moroni, L; Noomen, J; Oh, A; Perera, L; Pernegger, H; Pernicka, M; Polesello, P; Potenza, R; Riester, J L; Roe, S; Rudge, A; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Sutera, C; Trischuk, W; Tromson, D; Tuvé, C; Vincenzo, B; Weilhammer, P; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2003-01-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  19. The development of diamond tracking detectors for the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H. E-mail: harris.kagan@cern.ch; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-11-21

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  20. The development of diamond tracking detectors for the LHC

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-11-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  1. The Field Emission Characteristics of Titanium-Doped Nano-Diamonds

    Institute of Scientific and Technical Information of China (English)

    YANG Yan-Ning; ZHANG Zhi-Yong; ZHANG Fu-Chun; DONG Jun-Tang; ZHAO Wu; ZHAI Chun-Xue; ZHANG Wei-Hu

    2012-01-01

    An electrophoresis solution,prepared in a specific ratio of titanium (Ti)-doped nano-diamond,is dispersed by ultrasound and the nano-diamond coating is then deposited on a polished Ti substrate by electrophoresis.After high-temperature vacuum annealing,the appearance of the surface and the microstructures of the coating are observed by a metallomicroscope,scanning electron microscopy and Raman spectroscopy.The field emission characteristics and luminescence features are also tested,and the mechanism of the field emission characteristics of the Ti-doped nano-diamond is analyzed.The experimental results show that under the same conditions,the diamond-coated surface (by deposition) is more uniform after doping with 5 mg of Ti powder.Compared with the undoped nano-diamond cathode,the turn-on fields decline from 6.95 to 5.95 V/μm.When the electric field strength is 13.80 V/μm,the field emission current density increases to 130.00 μA/cm2.Under the applied fields,the emission current is stable and the luminescence is at its best,while the field emission characteristics of the 10 mg Ti-doped coating become worse,as does the luminescence.The reason for this could be that an excessive amount of TiC is generated on the surface of the coating.%An electrophoresis solution, prepared in a speciGc ratio of titanium (Ti)-doped nano-diamond, is dispersed by ultrasound and the nano-diamond coating is then deposited on a polished Ti substrate by electrophoresis. After high-temperature vacuum annealing, the appearance of the surface and the microstructures of the coating are observed by a metallomicroscope, scanning electron microscopy and Raman spectroscopy. The field emission characteristics and luminescence features are also tested, and the mechanism of the field emission characteristics of the Ti-doped nano-diamond is analyzed. The experimental results show that under the same conditions, the diamond-coated surface (by deposition) is more uniform after doping with 5 mg of Ti

  2. Hydrogeologic Framework and Ground Water in Basin-Fill Deposits of the Diamond Valley Flow System, Central Nevada

    Science.gov (United States)

    Tumbusch, Mary L.; Plume, Russell W.

    2006-01-01

    The Diamond Valley flow system, an area of about 3,120 square miles in central Nevada, consists of five hydrographic areas: Monitor, Antelope, Kobeh, and Diamond Valleys and Stevens Basin. Although these five areas are in a remote part of Nevada, local government officials and citizens are concerned that the water resources of the flow system eventually could be further developed for irrigation or mining purposes or potentially for municipal use outside the study area. In order to better understand the flow system, the U.S. Geological Survey in cooperation with Eureka, Lander, and Nye Counties and the Nevada Division of Water Resources, is conducting a multi-phase study of the flow system. The principal aquifers of the Diamond Valley flow system are in basin-fill deposits that occupy structural basins comprised of carbonate rocks, siliciclastic sedimentary rocks, igneous intrusive rocks, and volcanic rocks. Carbonate rocks also function as aquifers, but their extent and interconnections with basin-fill aquifers are poorly understood. Ground-water flow in southern Monitor Valley is from the valley margins toward the valley axis and then northward to a large area of discharge by evapotranspiration (ET) that is formed south of a group of unnamed hills near the center of the valley. Ground-water flow from northern Monitor Valley, Antelope Valley, and northern and western parts of Kobeh Valley converges to an area of ground-water discharge by ET in central and eastern Kobeh Valley. Prior to irrigation development in the 1960s, ground-water flow in Diamond Valley was from valley margins toward the valley axis and then northward to a large discharge area at the north end of the valley. Stevens Basin is a small upland basin with internal drainage and is not connected with other parts of the flow system. After 40 years of irrigation pumping, a large area of ground-water decline has developed in southern Diamond Valley around the irrigated area. In this part of Diamond

  3. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  4. Crud deposition on fuel in WWER reactors

    International Nuclear Information System (INIS)

    Kysela, J.; Svarc, V.; Androva, K.; Ruzickova, M.

    2008-01-01

    Reliability of nuclear fuel and radiation fields surrounding primary systems are important aspects of overall nuclear reactor safety. Corrosion product (crud) deposition on fuel surfaces has implications for fuel performance through heat transfer and local chemistry modifications. Crud is currently one of the key industry issues and has been implicated in several recent cases of crud-related fuel failures and core plugging. Activated crud is deposited on out-of-core surfaces, mainly steam generators, resulting in high radiation fields and high doses of plant staff. Due to radiation build-up in primary circuit systems, decontamination of primary systems components and steam generators is used. Several issues involving decontamination were observed in some cases. After decontamination higher corrosion product release occurs followed by subsequent crud deposition on fuel surfaces. The paper summarizes experience with water chemistry and decontamination that can influence crud deposition on fuel surfaces. The following areas are discussed: 1) Experience with crud deposition, primary water chemistry and decontamination under operating conditions; 2) The behaviour of organic compounds in primary coolant and on fuel surfaces; 3) A proposed experimental programme to study crud deposition. (authors)

  5. Electrochemical and morphological characterization of gold nanoparticles deposited on boron-doped diamond electrode

    Energy Technology Data Exchange (ETDEWEB)

    Limat, Meriadec; El Roustom, Bahaa [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Chemical Sciences and Engineering, CH-1015 Lausanne (Switzerland); Jotterand, Henri [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Physics of the Complex Matter, CH-1015 Lausanne (Switzerland); Foti, Gyoergy [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Chemical Sciences and Engineering, CH-1015 Lausanne (Switzerland)], E-mail: gyorgy.foti@epfl.ch; Comninellis, Christos [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Chemical Sciences and Engineering, CH-1015 Lausanne (Switzerland)

    2009-03-30

    A novel two-step method was employed to synthesize gold nanoparticles dispersed on boron-doped diamond (BDD) electrode. It consisted of sputter deposition at ambient temperature of maximum 15 equivalent monolayers of gold, followed by a heat treatment in air at 600 deg. C. Gold nanoparticles with an average diameter between 7 and 30 nm could be prepared by this method on polycrystalline BDD film electrode. The obtained Au/BDD composite electrode appeared stable under conditions of electrochemical characterization performed using ferri-/ferrocyanide and benzoquinone/hydroquinone redox couples in acidic medium. The electrochemical behavior of Au/BDD was compared to that of bulk Au and BDD electrodes. Finally, the Au/BDD composite electrode was regarded as an array of Au microelectrodes dispersed on BDD substrate.

  6. Electrochemical and morphological characterization of gold nanoparticles deposited on boron-doped diamond electrode

    International Nuclear Information System (INIS)

    Limat, Meriadec; El Roustom, Bahaa; Jotterand, Henri; Foti, Gyoergy; Comninellis, Christos

    2009-01-01

    A novel two-step method was employed to synthesize gold nanoparticles dispersed on boron-doped diamond (BDD) electrode. It consisted of sputter deposition at ambient temperature of maximum 15 equivalent monolayers of gold, followed by a heat treatment in air at 600 deg. C. Gold nanoparticles with an average diameter between 7 and 30 nm could be prepared by this method on polycrystalline BDD film electrode. The obtained Au/BDD composite electrode appeared stable under conditions of electrochemical characterization performed using ferri-/ferrocyanide and benzoquinone/hydroquinone redox couples in acidic medium. The electrochemical behavior of Au/BDD was compared to that of bulk Au and BDD electrodes. Finally, the Au/BDD composite electrode was regarded as an array of Au microelectrodes dispersed on BDD substrate

  7. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  8. Interfacial chemical bonding state and band alignment of CaF2/hydrogen-terminated diamond heterojunction

    International Nuclear Information System (INIS)

    Liu, J. W.; Liao, M. Y.; Cheng, S. H.; Imura, M.; Koide, Y.

    2013-01-01

    CaF 2 films are deposited on hydrogen-terminated diamond (H-diamond) by a radio-frequency sputter-deposition technique at room temperature. Interfacial chemical bonding state and band alignment of CaF 2 /H-diamond heterojunction are investigated by X-ray photoelectron spectroscopy. It is confirmed that there are only C-Ca bonds at the CaF 2 /H-diamond heterointerface. Valence and conductance band offsets of the CaF 2 /H-diamond heterojunciton are determined to be 3.7 ± 0.2 and 0.3 ± 0.2 eV, respectively. It shows a type I straddling band configuration. The large valence band offset suggests advantage of the CaF 2 /H-diamond heterojunciton for the development of high power and high frequency field effect transistors.

  9. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  10. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  11. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  12. Electrochemically grafted polypyrrole changes photoluminescence of electronic states inside nanocrystalline diamond

    Energy Technology Data Exchange (ETDEWEB)

    Galář, P., E-mail: pavel.galar@mff.cuni.cz; Malý, P. [Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 3, Prague 121 16 (Czech Republic); Čermák, J.; Kromka, A.; Rezek, B. [Institute of Physics ASCR v.v.i., Cukrovarnická 10, Prague 160 00 (Czech Republic)

    2014-12-14

    Hybrid diamond-organic interfaces are considered attractive for diverse applications ranging from electronics and energy conversion to medicine. Here we use time-resolved and time-integrated photoluminescence spectroscopy in visible spectral range (380–700 nm) to study electronic processes in H-terminated nanocrystalline diamond films (NCD) with 150 nm thin, electrochemically deposited polypyrrole (PPy) layer. We observe changes in dynamics of NCD photoluminescence as well as in its time-integrated spectra after polymer deposition. The effect is reversible. We propose a model where the PPy layer on the NCD surface promotes spatial separation of photo-generated charge carriers both in non-diamond carbon phase and in bulk diamond. By comparing different NCD thicknesses we show that the effect goes as much as 200 nm deep inside the NCD film.

  13. Demonstrating diamond wire cutting of the TFTR

    International Nuclear Information System (INIS)

    Rule, K.; Perry, E.; Larson, S.; Viola, M.

    2000-01-01

    The Tokamak Fusion Test Reactor (TFTR) ceased operation in April 1997 and decommissioning commenced in October 1999. The deuterium-tritium fusion experiments resulted in contaminating the vacuum vessel with tritium and activating the materials with 14 Mev neutrons. The total tritium content within the vessel is in excess of 7,000 Curies while dose rates approach 50 mRem/hr. These radiological hazards along with the size of the Tokamak (100 cubic meters) present a unique and challenging task for dismantling. Plasma arc cutting is the current baseline technology for the dismantlement of fission reactors. This technology is typically used because of its faster cutting times. Alternatively, an innovative approach for dismantlement of the TFTR is the use of diamond wire cutting technology. Recent improvements in diamond wire technology have allowed the cutting of carbon steel components such as pipe, plate, and tube bundles in heat exchangers. Some expected benefits of this technology include: significantly reduction in airborne contaminates, reduced personnel exposure, a reduced risk of spread of tritium contamination, and reduced overall costs as compared to using plasma arc cutting. This paper will provide detailed results of the diamond wire cutting demonstration that was completed in September of 1999, on a mock-up of this complex reactor. The results will identify cost, safety, industrial and engineering parameters, and the related performance of each situation

  14. Demonstrating diamond wire cutting of the TFTR

    Energy Technology Data Exchange (ETDEWEB)

    Rule, K.; Perry, E.; Larson, S.; Viola, M. [and others

    2000-02-24

    The Tokamak Fusion Test Reactor (TFTR) ceased operation in April 1997 and decommissioning commenced in October 1999. The deuterium-tritium fusion experiments resulted in contaminating the vacuum vessel with tritium and activating the materials with 14 Mev neutrons. The total tritium content within the vessel is in excess of 7,000 Curies while dose rates approach 50 mRem/hr. These radiological hazards along with the size of the Tokamak (100 cubic meters) present a unique and challenging task for dismantling. Plasma arc cutting is the current baseline technology for the dismantlement of fission reactors. This technology is typically used because of its faster cutting times. Alternatively, an innovative approach for dismantlement of the TFTR is the use of diamond wire cutting technology. Recent improvements in diamond wire technology have allowed the cutting of carbon steel components such as pipe, plate, and tube bundles in heat exchangers. Some expected benefits of this technology include: significantly reduction in airborne contaminates, reduced personnel exposure, a reduced risk of spread of tritium contamination, and reduced overall costs as compared to using plasma arc cutting. This paper will provide detailed results of the diamond wire cutting demonstration that was completed in September of 1999, on a mock-up of this complex reactor. The results will identify cost, safety, industrial and engineering parameters, and the related performance of each situation.

  15. Deposition of RuO{sub 4} on various surfaces in a nuclear reactor containment

    Energy Technology Data Exchange (ETDEWEB)

    Holm, Joachim, E-mail: joachim.holm@chalmers.s [Department of Nuclear Chemistry, Chalmers University of Technology, Se-412 96 Gothenburg (Sweden); Glaenneskog, Henrik [Ringhals AB, SE-430 22, Vaeroebacka (Sweden); Ekberg, Christian [Department of Nuclear Chemistry, Chalmers University of Technology, Se-412 96 Gothenburg (Sweden)

    2009-07-01

    During a severe nuclear reactor accident with air ingress, ruthenium can be released from the nuclear fuel in the form of ruthenium tetroxide. Hence, it is important to investigate how the reactor containment is able to reduce the source term of ruthenium. The aim of this work was to investigate the deposition of gaseous ruthenium tetroxide on aluminium, copper and zinc, which all appear in relatively large amounts in reactor containment. The experiments show that ruthenium tetroxide is deposited on all the metal surfaces, especially on the copper and zinc surfaces. A large deposition of ruthenium tetroxide also appeared on the relatively inert glass surfaces in the experimental set-ups. The analyses of the different surfaces, with several analytical methods, showed that the form of deposited ruthenium was mainly ruthenium dioxide.

  16. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  17. Laser plasma generation of hydrogen-free diamond-like carbon thin films on Zr-2.5Nb CANDU pressure tube materials and silicon wafers with a pulsed high-power CO2 laser

    International Nuclear Information System (INIS)

    Ebrahim, N.A.; Mouris, J.F.; Hoffmann, C.R.J.; Davis, R.W.

    1995-06-01

    We report the first experiments on the laser plasma deposition of hydrogen-free, diamond-like carbon (DLC) films on Zr-2.5Nb CANDU pressure-tube materials and silicon substrates, using the short-pulse, high-power, CO 2 laser in the High-Power Laser Laboratory at Chalk River Laboratories. The films were (AFM). The thin films show the characteristic signature of DLC films in the Raman spectra obtained using a krypton-ion (Kr + ) laser. The Vickers ultra-low-load microhardness tests show hardness of the coated surface of approximately 7000 Kg force mm -2 , which is consistent with the hardness associated with DLC films. AFM examination of the film morphology shows diamond-like crystals distributed throughout the film, with film thicknesses of up to 0.5 μm generated with 50 laser pulses. With significantly more laser pulses, it is expected that very uniform diamond-like films would be produced. These experiments suggest that it should be possible to deposit hydrogen-free, diamond-like films of relevance to nuclear reactor components with a high-power and high-repetition-rate laser facility. (author). 7 refs., 2 tabs., 15 figs

  18. Vertically aligned carbon nanotubes/diamond double-layered structure for improved field electron emission stability

    Energy Technology Data Exchange (ETDEWEB)

    Yang, L., E-mail: qiaoqin.yang@mail.usask.ca; Yang, Q.; Zhang, C.; Li, Y.S.

    2013-12-31

    A double-layered nanostructure consisting of a layer of vertically aligned Carbon Nanotubes (CNTs) and a layer of diamond beneath has been synthesized on silicon substrate by Hot Filament Chemical Vapor Deposition. The synthesis was achieved by first depositing a layer of diamond on silicon and then depositing a top layer of vertically aligned CNTs by applying a negative bias on the substrate holder. The growth of CNTs was catalyzed by a thin layer of spin-coated iron nitride. The surface morphology and structure of the CNTs/diamond double-layered structure were characterized by Scanning Electron Microscope, Energy Dispersive X-ray spectrum, and Raman Spectroscopy. Their field electron emission (FEE) properties were measured by KEITHLEY 237 high voltage measurement unit, showing much higher FEE current stability than single layered CNTs. - Highlights: • A new double-layered nanostructure consisting of a layer of vertically aligned CNTs and a layer of diamond beneath has been synthesized by hot filament chemical vapor deposition. • This double-layered structure exhibits superior field electron emission stability. • The improvement of emission stability is due to the combination of the unique properties of diamond and CNTs.

  19. Status of the R&D activity on diamond particle detectors

    Science.gov (United States)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  20. Diamond detectors for high energy physics experiments

    Science.gov (United States)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  1. Diamond-like carbon coated ultracold neutron guides

    International Nuclear Information System (INIS)

    Heule, S.; Atchison, F.; Daum, M.; Foelske, A.; Henneck, R.; Kasprzak, M.; Kirch, K.; Knecht, A.; Kuzniak, M.; Lippert, T.; Meier, M.; Pichlmaier, A.; Straumann, U.

    2007-01-01

    It has been shown recently that diamond-like carbon (DLC) with a sp 3 fraction above 60% is a better wall coating material for ultracold neutron applications than beryllium. We report on results of Raman spectroscopic and XPS measurements obtained for diamond-like carbon coated neutron guides produced in a new facility, which is based on pulsed laser deposition at 193 nm. For diamond-like carbon coatings on small stainless steel substrates we find sp 3 fractions in the range from 60 to 70% and showing slightly increasing values with laser pulse energy and pulse repetition rate

  2. Dependence of reaction pressure on deposition and properties of boron-doped freestanding diamond films

    International Nuclear Information System (INIS)

    Li Liuan; Li Hongdong; Lue Xianyi; Cheng Shaoheng; Wang Qiliang; Ren Shiyuan; Liu Junwei; Zou Guangtian

    2010-01-01

    In this paper, we investigate the reaction pressure-dependent growth and properties of boron-doped freestanding diamond films, synthesized by hot filament chemical vapor deposition (HFCVD) at different boron-doping levels. With the decrease in pressure, the growth feature of the films varies from mixed [1 1 1] and [1 1 0] to dominated [1 1 1] texture. The low reaction pressure, as well as high boron-doping level, results in the increase (decrease) of carrier concentration (resistivity). The high concentration of atomic hydrogen in the ambient and preferable [1 1 1] growth, due to the low reaction pressure, is available for the enhancement of boron doping. The estimated residual stress increases with increase in the introducing boron level.

  3. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  4. Novel ion-molecular surface reaction to result in CH3 adsorbates on (111) surface of chemical vapor deposition diamond from ethane and surface anionic sites

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Okada, Katsuyuki; Shimizu, Yoshiki; Moriyoshi, Yusuke

    2001-01-01

    The existence of CH 3 adsorbates on (111) surface of chemical vapor deposited diamond, which was observed by scanning tunneling microscopy, was explained by the following S N 2 (bimolecular, substitutional, and nucleophilic) type surface reaction; C(s) - +C 2 H 6 ->C(s)-CH 3 +CH 3 - , where C(s) denotes a surface carbon atom. The activation energy was estimated to be 36.78 kcal/mol and the reaction proved to be exothermic with the enthalpy change of -9.250 kcal/mol, according to ab initio molecular orbital calculations at MP2/3-21+G * //RHF/3-21G * level; this result is consistent with typical substrate temperatures, namely about 900 degree C, for chemical vapor deposition of diamond. Charge transfer from the highest occupied molecular orbital of the surface anionic site to the lowest unoccupied molecular orbital of ethane, that is antibonding at the CH 3 - CH 3 bond, has been clearly visualized. A characteristic configuration of an ethane molecule which is associated with an anionic vacant site C(s) - on hydrogenated (111) surface of diamond was also found. [copyright] 2001 American Institute of Physics

  5. A Review on the Low-Dimensional and Hybridized Nanostructured Diamond Films

    Directory of Open Access Journals (Sweden)

    Hongdong Li

    2015-01-01

    Full Text Available In the last decade, besides the breakthrough of high-rate growth of chemical vapor deposited single-crystal diamonds, numerous nanostructured diamond films have been rapidly developed in the research fields of the diamond-based sciences and industrial applications. The low-dimensional diamonds of two-dimensional atomic-thick nanofilms and nanostructural diamond on the surface of bulk diamond films have been theoretically and experimentally investigated. In addition, the diamond-related hybrid nanostructures of n-type oxide/p-type diamond and n-type nitride/p-type diamond, having high performance physical and chemical properties, are proposed for further applications. In this review, we first briefly introduce the three categories of diamond nanostructures and then outline the current advances in these topics, including their design, fabrication, characterization, and properties. Finally, we address the remaining challenges in the research field and the future activities.

  6. Structural and electrical characterization of diamond films deposited in nitrogen/oxygen containing gas mixture by linear antenna microwave CVD process

    Czech Academy of Sciences Publication Activity Database

    Vojs, Marian; Varga, Marián; Babchenko, Oleg; Ižák, Tibor; Mikolášek, M.; Marton, M.; Kromka, Alexander

    2014-01-01

    Roč. 312, SEP (2014), s. 226-230 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : pulsed linear antenna microwave chemical vapor deposition * nanocrystalline diamond * Raman spectroscopy * admittance spectroscopy * n-type conductive NCD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.711, year: 2014

  7. Numerical Prediction of the Influence of Process Parameters on Large Area Diamond Deposition by DC Arcjet with ARC Roots Rotating and Operating at Gas Recycling Mode

    Science.gov (United States)

    Lu, F. X.; Huang, T. B.; Tang, W. Z.; Song, J. H.; Tong, Y. M.

    A computer model have been set up for simulation of the flow and temperature field, and the radial distribution of atomic hydrogen and active carbonaceous species over a large area substrate surface for a new type dc arc plasma torch with rotating arc roots and operating at gas recycling mode A gas recycling radio of 90% was assumed. In numerical calculation of plasma chemistry, the Thermal-Calc program and a powerful thermodynamic database were employed. Numerical calculations to the computer model were performed using boundary conditions close to the experimental setup for large area diamond films deposition. The results showed that the flow and temperature field over substrate surface of Φ60-100mm were smooth and uniform. Calculations were also made with plasma of the same geometry but no arc roots rotation. It was clearly demonstrated that the design of rotating arc roots was advantageous for high quality uniform deposition of large area diamond films. Theoretical predictions on growth rate and film quality as well as their radial uniformity, and the influence of process parameters on large area diamond deposition were discussed in detail based on the spatial distribution of atomic hydrogen and the carbonaceous species in the plasma over the substrate surface obtained from thermodynamic calculations of plasma chemistry, and were compared with experimental observations.

  8. Fabrication of highly transparent diamond-like carbon anti-reflecting coating for Si solar cell application

    Energy Technology Data Exchange (ETDEWEB)

    Banerjee, Amit, E-mail: erdd@iacs.res.in; Das, Debajyoti, E-mail: erdd@iacs.res.in [Nano-Science Group, Energy Research Unit, Indian Association for the Cultivation of Science, Jadavpur, Kolkata-700032 (India)

    2014-04-24

    ARC grade highly transparent unhydrogenated diamond-like carbon (DLC) films were produced, directly from a-C target, using RF magnetron sputtering deposition technique, for optoelectronic applications. Optical band gap, transmittance, reflectance, sp{sup 3} fraction, I{sub D}/I{sub G}, density, and refractive index of the films have been estimated with the help of optical tools like Uv-vis spectrophotometer, ellipsometer and micro-Raman. Optimum ARC-qualities have been identified in low-temperature grown DLC films at an Ar pressure of 4 mTorr in the reactor, accomplishing its key requirements for use in silicon solar cells.

  9. Near-surface hydrogen depletion of diamond-like carbon films produced by direct ion deposition

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, Andreas, E-mail: A.Markwitz@gns.cri.nz [GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Gupta, Prasanth [GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, Berit [GNS Science, Lower Hutt (New Zealand); Hübner, René [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany); Leveneur, Jerome; Zondervan, Albert [GNS Science, Lower Hutt (New Zealand); Becker, Hans-Werner [RUBION, Ruhr-University Bochum (Germany)

    2016-03-15

    Amorphous atomically flat diamond-like carbon (DLC) coatings were produced by direct ion deposition using a system based on a Penning ion source, butane precursor gas and post acceleration. Hydrogen depth profiles of the DLC coatings were measured with the 15N R-NRA method using the resonant nuclear reaction {sup 1}H({sup 15}N, αγ){sup 12}C (E{sub res} = 6.385 MeV). The films produced at 3.0–10.5 kV acceleration voltage show two main effects. First, compared to average elemental composition of the film, the near-surface region is hydrogen depleted. The increase of the hydrogen concentration by 3% from the near-surface region towards the bulk is attributed to a growth model which favours the formation of sp{sup 2} hybridised carbon rich films in the film formation zone. Secondly, the depth at which the maximum hydrogen concentration is measured increases with acceleration voltage and is proportional to the penetration depth of protons produced by the ion source from the precursor gas. The observed effects are explained by a deposition process that takes into account the contributions of ion species, hydrogen effusion and preferential displacement of atoms during direct ion deposition.

  10. Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD Reactor

    Directory of Open Access Journals (Sweden)

    Curtisha D. Travis

    2013-08-01

    Full Text Available A laboratory-scale atomic layer deposition (ALD reactor system model is derived for alumina deposition using trimethylaluminum and water as precursors. Model components describing the precursor thermophysical properties, reactor-scale gas-phase dynamics and surface reaction kinetics derived from absolute reaction rate theory are integrated to simulate the complete reactor system. Limit-cycle solutions defining continuous cyclic ALD reactor operation are computed with a fixed point algorithm based on collocation discretization in time, resulting in an unambiguous definition of film growth-per-cycle (gpc. A key finding of this study is that unintended chemical vapor deposition conditions can mask regions of operation that would otherwise correspond to ideal saturating ALD operation. The use of the simulator for assisting in process design decisions is presented.

  11. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  12. Energy deposition measurements in fast reactor safety experiments with fission thermocouple detectors

    International Nuclear Information System (INIS)

    Wright, S.A.; Scott, H.L.

    1979-01-01

    The investigation of phenomena occurring in in-pile fast reactor safety experiments requires an accurate measurement of the time dependent energy depositions within the fissile material. At Sandia Laboratories thin-film fission thermocouples are being developed for this purpose. These detectors have high temperature capabilities (400 to 500 0 C), are sodium compatible, and have milli-second time response. A significant advantage of these detectors for use as energy deposition monitors is that they produce an output voltage which is directly dependent on the temperature of a small chip of fissile material within the detectors. However, heat losses within the detector make it necessary to correct the response of the detector to determine the energy deposition. A method of correcting the detector response which uses an inverse convolution procedure has been developed and successfully tested with experimental data obtained in the Sandia Pulse Reactor (SPR-II) and in the Annular Core Research Reactor

  13. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  14. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  15. Modeling of thermophoretic deposition of aerosols in nuclear reactor containments

    International Nuclear Information System (INIS)

    Fernandes, A.; Loyalka, S.K.

    1996-01-01

    Aerosol released in postulated or real nuclear reactor accidents can deposit on containment surfaces via motion induced by temperature gradients in addition to the motion due to diffusion and gravity. The deposition due to temperature gradients is known as thermophoretic deposition, and it is currently modeled in codes such as CONTAIN in direct analogy with heat transfer, but there have been questions about such analogies. This paper focuses on a numerical solution of the particle continuity equation in laminar flow condition characteristics of natural convection. First, the thermophoretic deposition rate is calculated as a function of the Prandtl and Schmidt numbers, the thermophoretic coefficient K, and the temperature difference between the atmosphere and the wall. Then, the cases of diffusion alone and a boundary-layer approximation (due to Batchelor and Shen) to the full continuity equation are considered. It is noted that an analogy with heat transfer does not hold, but for the circumstances considered in this paper, the deposition rates from the diffusion solution and the boundary-layer approximation can be added to provide reasonably good agreement (maximum deviation 30%) with the full solution of the particle continuity equation. Finally, correlations useful for implementation in the reactor source term codes are provided

  16. Effect of working pressure on corrosion behavior of nitrogen doped diamond-like carbon thin films deposited by DC magnetron sputtering.

    Science.gov (United States)

    Khun, N W; Liu, E

    2011-06-01

    Nitrogen doped diamond-like carbon thin films were deposited on highly conductive p-silicon(100) substrates using a DC magnetron sputtering deposition system by varying working pressure in the deposition chamber. The bonding structure, adhesion strength, surface roughness and corrosion behavior of the films were investigated by using X-ray photoelectron spectroscopy, micro-Raman spectroscopy, micro-scratch test, atomic force microscopy and potentiodynamic polarization test. A 0.6 M NaCl electrolytic solution was used for the corrosion tests. The optimum corrosion resistance of the films was found at a working pressure of 7 mTorr at which a good balance between the kinetics of the sputtered ions and the surface mobility of the adatoms promoted a microstructure of the films with fewer porosities.

  17. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  18. Method of removing sodium deposited to constituent element of LMFBR type reactor

    International Nuclear Information System (INIS)

    Mitsuta, Susumu; Nakagawa, Tamotsu.

    1989-01-01

    Spent reactor core constituent elements deposited with sodium are vertically embedded in an inactive powder contained in a container. Then, a blower for a gas circulation circuit disposed through the inactive powder from below is driven to supply inactive gases by way of a dispersion plate from blow the container. The inactive gases and/or mechanical vibrations fluidize the inactive powder and keep the inside of the container to 300 - 600degC. Then, sodium deposited to the reactor core constituent elements is deposited to the inactive powdery particles and evaporated. The inactive gases accompanying sodium vapors discharged from the container are cooled and sodium is separated by condensation and recovered. This can outstandingly reduce the amount of radioactive wastes and deposited sodium can be removed efficiently irrespective of the amount of heat generated. (T.M.)

  19. Low-Energy, Hydrogen-Free Method of Diamond Synthesis

    Science.gov (United States)

    Varshney, Deepak (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor); Makarov, Vladimir (Inventor)

    2013-01-01

    Diamond thin films were deposited on copper substrate by the Vapor Solid (VS) deposition method using a mixture of fullerene C(sub 60) and graphite as the source material. The deposition took place only when the substrate was kept in a narrow temperature range of approximately 550-650 C. Temperatures below and above this range results in the deposition of fullerenes and other carbon compounds, respectively.

  20. Comparison between Silicon-Carbide and diamond for fast neutron detection at room temperature

    Directory of Open Access Journals (Sweden)

    Obraztsova O.

    2018-01-01

    Full Text Available Neutron radiation detector for nuclear reactor applications plays an important role in getting information about the actual neutron yield and reactor environment. Such detector must be able to operate at high temperature (up to 600° C and high neutron flux levels. It is worth nothing that a detector for industrial environment applications must have fast and stable response over considerable long period of use as well as high energy resolution. Silicon Carbide is one of the most attractive materials for neutron detection. Thanks to its outstanding properties, such as high displacement threshold energy (20-35 eV, wide band gap energy (3.27 eV and high thermal conductivity (4.9 W/cm·K, SiC can operate in harsh environment (high temperature, high pressure and high radiation level without additional cooling system. Our previous analyses reveal that SiC detectors, under irradiation and at elevated temperature, respond to neutrons showing consistent counting rates as function of external reverse bias voltages and radiation intensity. The counting-rate of the thermal neutron-induced peak increases with the area of the detector, and appears to be linear with respect to the reactor power. Diamond is another semi-conductor considered as one of most promising materials for radiation detection. Diamond possesses several advantages in comparison to other semiconductors such as a wider band gap (5.5 eV, higher threshold displacement energy (40-50 eV and thermal conductivity (22 W/cm·K, which leads to low leakage current values and make it more radiation resistant that its competitors. A comparison is proposed between these two semiconductors for the ability and efficiency to detect fast neutrons. For this purpose the deuterium-tritium neutron generator of Technical University of Dresden with 14 MeV neutron output of 1010 n·s-1 is used. In the present work, we interpret the first measurements and results with both 4H-SiC and chemical vapor deposition (CVD

  1. Comparison between Silicon-Carbide and diamond for fast neutron detection at room temperature

    Science.gov (United States)

    Obraztsova, O.; Ottaviani, L.; Klix, A.; Döring, T.; Palais, O.; Lyoussi, A.

    2018-01-01

    Neutron radiation detector for nuclear reactor applications plays an important role in getting information about the actual neutron yield and reactor environment. Such detector must be able to operate at high temperature (up to 600° C) and high neutron flux levels. It is worth nothing that a detector for industrial environment applications must have fast and stable response over considerable long period of use as well as high energy resolution. Silicon Carbide is one of the most attractive materials for neutron detection. Thanks to its outstanding properties, such as high displacement threshold energy (20-35 eV), wide band gap energy (3.27 eV) and high thermal conductivity (4.9 W/cm·K), SiC can operate in harsh environment (high temperature, high pressure and high radiation level) without additional cooling system. Our previous analyses reveal that SiC detectors, under irradiation and at elevated temperature, respond to neutrons showing consistent counting rates as function of external reverse bias voltages and radiation intensity. The counting-rate of the thermal neutron-induced peak increases with the area of the detector, and appears to be linear with respect to the reactor power. Diamond is another semi-conductor considered as one of most promising materials for radiation detection. Diamond possesses several advantages in comparison to other semiconductors such as a wider band gap (5.5 eV), higher threshold displacement energy (40-50 eV) and thermal conductivity (22 W/cm·K), which leads to low leakage current values and make it more radiation resistant that its competitors. A comparison is proposed between these two semiconductors for the ability and efficiency to detect fast neutrons. For this purpose the deuterium-tritium neutron generator of Technical University of Dresden with 14 MeV neutron output of 1010 n·s-1 is used. In the present work, we interpret the first measurements and results with both 4H-SiC and chemical vapor deposition (CVD) diamond

  2. Diamond Detector Technology: Status and Perspectives

    CERN Document Server

    Reichmann, M; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H; Bellini, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; Dauvergne, D; de Boer, W; Dorfer, C; Dünser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gallin-Martel, L; Gallin-Martel, M L; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kagan, H; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Konovalov, V; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Riley, G; Roe, S; Sanz-Becerra, D A; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Smith, S; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Tannenwald, B; Taylor, A; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, S; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2018-01-01

    The planned upgrade of the LHC to the High-Luminosity-LHC will push the luminosity limits above the original design values. Since the current detectors will not be able to cope with this environment ATLAS and CMS are doing research to find more radiation tolerant technologies for their innermost tracking layers. Chemical Vapour Deposition (CVD) diamond is an excellent candidate for this purpose. Detectors out of this material are already established in the highest irradiation regimes for the beam condition monitors at LHC. The RD42 collaboration is leading an effort to use CVD diamonds also as sensor material for the future tracking detectors. The signal behaviour of highly irradiated diamonds is presented as well as the recent study of the signal dependence on incident particle flux. There is also a recent development towards 3D detectors and especially 3D detectors with a pixel readout based on diamond sensors.

  3. Seismic stability analyses of various reactor buildings on quaternary deposit

    International Nuclear Information System (INIS)

    Takeuchi, Y.; Tsutagawa, M.; Asakura, S.; Katoh, T.; Tomura, H.; Uchiyama, S.; Koyama, M.; Oguro, E.; Akino, K.; Iizuka, S.; Hayashi, M.

    1993-01-01

    Many nuclear power plants have been built on Quaternary deposits in Europe and U.S.A., however, Japanese basic policy is to construct the reactor building and other auxiliary buildings on a bed rock which are important to safety, because large earthquakes are postulated to occur. Being limited bed rock sites in Japan, it has become necessary to increase possible place for nuclear power plant in order to cope with the middle and long term siting problems. For the purpose of establishing the draft of guideline on seismic design of reactor building on the Quaternary sand and gravel deposit in Japan, foundation soil stability and seismic resistance of the reactor building and plant equipment have been investigated and studied from 1983 to 1998. The studies have shown the following: 1) The response rotation angles of both common light weight basement (CL) and step basement (ES) plants during the earthquake reduce to 1/2 of the BR plant value, and the bearing pressure between the basement and the soil of improved plant are reduced as well; (2) every structure built on quaternary sand and gravel deposit, having 400m/s shear velocity, maintains enough seismic resistance, because the shear stress caused in the wall is small. The maximum shear strain of soil below the basemat of BR-BWR, which suffers the largest bearing pressure, is 1.1x10 -9 , but it can be said that the soil has enough stability according to the past soil tests for the Quaternary sand and gravel deposit that had been done by authors

  4. Modeling of diamond radiation detectors

    International Nuclear Information System (INIS)

    Milazzo, L.; Mainwood, A.

    2004-01-01

    We have built up a computer simulation of the detection mechanism in the diamond radiation detectors. The diamond detectors can be fabricated from a chemical vapour deposition polycrystalline diamond film. In this case, the trapping-detrapping and recombination at the defects inside the grains and at the grain boundaries degrade the transport properties of the material and the charge induction processes. These effects may strongly influence the device's response. Previous simulations of this kind of phenomena in the diamond detectors have generally been restricted to the simple detector geometries and homogeneous distribution of the defects. In our model, the diamond film (diamond detector) is simulated by a grid. We apply a spatial and time discretization, regulated by the grid resolution, to the equations describing the charge transport and, by using the Shockley-Ramo theorem, we calculate the signal induced on the electrodes. In this way, we can simulate the effects of the nonhomogeneous distributions of the trapping, recombination, or scattering centers and can investigate the differences observed when different particles, energies, and electrode configurations are used. The simulation shows that the efficiency of the detector increases linearly with the average grain size, that the charge collection distance is small compared to the dimensions of a single grain, and that for small grains, the trapping at the intragrain defects is insignificant compared to the effect of the grain boundaries

  5. Diamonds from Myanmar and Thailand: Characteristics and possible origins

    International Nuclear Information System (INIS)

    Griffin, W.L.; Commonwealth Scientific and Industrial Research Organisation, North Ryde, NSW; Win, T.T.; Andrew, A.S.; Davies, R.; Wathanakul, P.; Metcalfe, I.

    2000-01-01

    Alluvial diamonds with no obvious sources ('headless placers') are found in several areas of SE Asia and Oceania, including Myanmar, southern Thailand (Phuket), Sumatra, Kalimantan and eastern Australia. These deposits occur in relatively young geological terrains, in contrast to the Archaean or Proterozoic terrains that host most primary diamond deposits and their associated alluvial workings. Significant quantities of diamonds have been recovered from two areas in Myanmar, Momeik in the northern part of the country, and Theindaw in the southern part, and from the Phuket-Takuapa area of SW Thailand. Smaller quantities have been found in several other localities, notably in the Taungoo-Htantabin area of Myanmar. The Momeik diamonds are recovered during mining of gemstone gravels; the Theindaw and Phuket diamonds are by-products of tin dredging. To understand the origin of these enigmatic diamonds and to provide an improved exploration model, we are carrying out detailed studies of the morphology, mineral inclusions, internal growth structures and growth history, nitrogen concentration and aggregation state, and carbon isotopic composition of diamonds from Myanmar, Thailand and eastern Australia. We have examined >40 stones from Phuket, >110 from Theindaw and >25 from Momeik; these range in size from <0.1 ct to 3.5 ct, averaging ca 0.2 ct. While there are differences among the samples from different areas, the small sample size means these may not be representative and the similarities among the samples are striking. They are therefore described together here. More detailed data are given by Win et al., (1998) and Wathanakul et al., (1998)

  6. Status of the R and D activity on diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M. E-mail: bruzzi@fi.infn.it; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-09-21

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 {mu}m charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  7. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  8. Alpha particle response study of polycrstalline diamond radiation detector

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Amit; Topkar, Anita [Electronics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-400085 (India)

    2016-05-23

    Chemical vapor deposition has opened the possibility to grow high purity synthetic diamond at relatively low cost. This has opened up uses of diamond based detectors for wide range of applications. These detectors are most suitable for harsh environments where standard semiconductor detectors cannot work. In this paper, we present the fabrication details and performance study of polycrystalline diamond based radiation detector. Effect of different operating parameters such as bias voltage and shaping time for charge collection on the performance of detector has been studied.

  9. The characteristics of ESR and 3-D TL spectra of diamonds

    International Nuclear Information System (INIS)

    Liu Shunsheng; Lu Xu; Fu Huifang

    2003-01-01

    Electron Spin Resonance (ESR) and 3-dimensional Thermoluminescence (3-D TL) spectra of natural diamond, high temperature-high pressure artificial diamond and high temperature-low pressure chemical vapor deposited (CVD) diamond were determined. The characteristics of spectra have been studied. It is found that isolated nitrogen, nitrogen exchange pair and nitrogen atom pair (S=1) are main forms of electron spin resonance nitrogen in natural and high temperature-high pressure artificial diamonds. The spectrum of CVD diamond is sampler, and contains only one peak caused by suspended bond of unsaturated carbon ones. For 3-D TL spectra, natural diamond has two peaks (∼370 nm and ∼510 nm) in 100-200 degree C temperature range, high temperature-high pressure artificial diamond only has ∼370 nm peak, and CVD diamond only has ∼500 nm peak. These characteristics would be useful for the quality inspection and classification of diamonds, as well as for the study of geologic actions associated with natural diamond

  10. Raman spectroscopy study of the influence of processing conditions on the structure of polycrystalline diamond films

    International Nuclear Information System (INIS)

    Ramamurti, R.; Shanov, V.; Singh, R.N.; Mamedov, S.; Boolchand, P.

    2006-01-01

    Diamond films are prepared by microwave plasma-enhanced chemical-vapor deposition on Si (100) substrates using the H 2 -Ar-CH 4 gases. Raman scattering data, including the peak position, intensity, area, and width, are analyzed in depth and used to obtain the sp 3 - and sp 2 -bonded carbon contents and the nature of internal stresses in the films. Polarization behavior of the Raman peaks is analyzed to assess its role on the quantitative analysis of the diamond films, which suggested that the 1150 cm -1 Raman peak in nanocrystalline diamond films could be attributed to sp 2 -bonded carbon. The role of the H 2 /Ar content in the gas mixture and substrate temperature on the characteristics of the diamond film is studied. Thickness and grain size of diamond films are also determined by scanning electron microscopy and related to the deposition conditions and Raman results. Deposition conditions, which led to highest sp 3 -bonded carbon content and growth rate, are identified

  11. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  12. Le dépôt de diamant en couche mince et ses applications Thin-Layer Diamond Depositing and Its Applications

    Directory of Open Access Journals (Sweden)

    Bertrand A.

    2006-11-01

    Full Text Available Nous avons cherché à faire le point sur la fabrication de couches minces de diamant qui, après avoir été très étudiée aux États-Unis vers la fin des années 1950, a fait l'objet d'une percée technologique soviétique en 1977. Celle-ci utilise l'effet solvant de l'hydrogène mélangé à un peu de méthane. Transformé en hydrogène atomique par décharge électrique, il interdit le dépôt de graphite et par conséquent permet seulement celui du diamant. Ce résultat est riche d'applications prometteuses en mécanique, en optique, en électronique. . . et constitue un élément clé pour la recherche spatiale. Les Japonais ont mis cinq ans pour réagir et les Américains cinq autres années. Mais ces derniers espèrent refaire leur retard grâce au programme Diamond Technology Initiative établi dans le cadre de l'initiative de Défense Stratégique (Guerre des Étoiles. Les retombées de ces travaux devraient concerner l'industrie pétrolière (paliers, trépans, capteurs en milieu extrême. This article reviews the depositing of diamond thin layers. After much research had been done on this technique in the United States in the late 1950s, a technological breakthrough was made by the Soviets in 1977. This breakthrough uses the solventeffect of hydrogen mixed with a bit of methane. This is transformed into atomic hydrogen by an electric discharge, thus preventing graphite from being deposited and hence enabling only diamond to be deposited. This achievement has a wealth of promising applications in mechanics, optics, electronics, etc. It is also a key element for space research. The Japanese took five years to react and the Americans another five years. But these latter hope to make up for lost time with the Diamond Technology Initiativepromoted within the framework of the Strategic Defense Initiative ( star wars . The fallouts from this research should involve the petroleum industry (bearings, drill bits, sensors in extreme

  13. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  14. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  15. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  16. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  17. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  18. Tailoring nanocrystalline diamond coated on titanium for osteoblast adhesion.

    Science.gov (United States)

    Pareta, Rajesh; Yang, Lei; Kothari, Abhishek; Sirinrath, Sirivisoot; Xiao, Xingcheng; Sheldon, Brian W; Webster, Thomas J

    2010-10-01

    Diamond coatings with superior chemical stability, antiwear, and cytocompatibility properties have been considered for lengthening the lifetime of metallic orthopedic implants for over a decade. In this study, an attempt to tailor the surface properties of diamond films on titanium to promote osteoblast (bone forming cell) adhesion was reported. The surface properties investigated here included the size of diamond surface features, topography, wettability, and surface chemistry, all of which were controlled during microwave plasma enhanced chemical-vapor-deposition (MPCVD) processes using CH4-Ar-H2 gas mixtures. The hardness and elastic modulus of the diamond films were also determined. H2 concentration in the plasma was altered to control the crystallinity, grain size, and topography of the diamond coatings, and specific plasma gases (O2 and NH3) were introduced to change the surface chemistry of the diamond coatings. To understand the impact of the altered surface properties on osteoblast responses, cell adhesion tests were performed on the various diamond-coated titanium. The results revealed that nanocrystalline diamond (grain sizes diamond and, thus, should be further studied for improving orthopedic applications. Copyright 2010 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2010.

  19. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Lohner, T., E-mail: lohner@mfa.kfki.h [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Csikvari, P. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary); Khanh, N.Q. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); David, S. [Department of Electronics Technology, Budapest University of Technology and Economics, H-1111 Budapest, Goldmann Gy. ter 3 (Hungary); Horvath, Z.E.; Petrik, P. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Hars, G. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary)

    2011-02-28

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH{sub 4}, and H{sub 2} gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  20. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    International Nuclear Information System (INIS)

    Lohner, T.; Csikvari, P.; Khanh, N.Q.; David, S.; Horvath, Z.E.; Petrik, P.; Hars, G.

    2011-01-01

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH 4 , and H 2 gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  1. Selective formation of diamond-like carbon coating by surface catalyst patterning

    DEFF Research Database (Denmark)

    Palnichenko, A.V.; Mátéfi-Tempfli, M.; Mátéfi-Tempfli, Stefan

    2004-01-01

    The selective formation of diamond-like carbon coating by surface catalyst patterning was studied. DLC films was deposited using plasma enhanced chemical vapor deposition, filtered vacuum arc deposition, laser ablation, magnetron sputtering and ion-beam lithography methods. The DLC coatings were...

  2. Characterization of diamond-like carbon coatings prepared by pulsed bias cathodic vacuum arc deposition

    International Nuclear Information System (INIS)

    Wu Jinbao; Chang, J.-J.; Li, M.-Y.; Leu, M.-S.; Li, A.-K.

    2007-01-01

    Hydrogen free diamond-like carbon (DLC) coatings have been deposited on Si(100) and stainless steel substrates by cathodic vacuum arc plasma deposition with pulse voltage. Adherent deposits on silicon can be obtained through applying gradient Ti/TiC/DLC layers. A pulse bias of - 100 V was applied to the substrate in order to obtain a denser structure of DLC coating approximately 1 μm thick. The microstructure and hardness value of DLC films were analyzed by using X-ray photoelectron spectroscopy and nano-indenter. The experimental results show that the duty cycle strongly influenced the hardness and sp 3 content of the DLC coatings. We observed that when the duty cycle was raised from 2.5% to 12.5%, the hardness increased from 26 GPa to 49 GPa, and the sp 3 fraction of the DLC films measured by XPS increased from 39% to 50.8 % as well. But at constant duty cycle, say 12.5%, the hardness is dropped from 49 to 14 GPa in proportion to the increase of residual gas pressure from 3 x 10 -3 Pa to 1 Pa. As the residual gas pressure increased, collisional phenomenon will decrease the energy of the ions. Ions with low energy make more graphitic carbon links and result in a low hardness value

  3. Comparison of natural and synthetic diamond X-ray detectors.

    Science.gov (United States)

    Lansley, S P; Betzel, G T; Metcalfe, P; Reinisch, L; Meyer, J

    2010-12-01

    Diamond detectors are particularly well suited for dosimetry applications in radiotherapy for reasons including near-tissue equivalence and high-spatial resolution resulting from small sensitive volumes. However, these detectors have not become commonplace due to high cost and poor availability arising from the need for high-quality diamond. We have fabricated relatively cheap detectors from commercially-available synthetic diamond fabricated using chemical vapour deposition. Here, we present a comparison of one of these detectors with the only commercially-available diamond-based detector (which uses a natural diamond crystal). Parameters such as the energy dependence and linearity of charge with dose were investigated at orthovoltage energies (50-250 kV), and dose-rate dependence of charge at linear accelerator energy (6 MV). The energy dependence of a synthetic diamond detector was similar to that of the natural diamond detector, albeit with slightly less variation across the energy range. Both detectors displayed a linear response with dose (at 100 kV) over the limited dose range used. The sensitivity of the synthetic diamond detector was 302 nC/Gy, compared to 294 nC/Gy measured for the natural diamond detector; however, this was obtained with a bias of 246.50 V compared to a bias of 61.75 V used for the natural diamond detector. The natural diamond detector exhibited a greater dependency on dose-rate than the synthetic diamond detector. Overall, the synthetic diamond detector performed well in comparison to the natural diamond detector.

  4. Electrochemical applications of CVD diamond

    International Nuclear Information System (INIS)

    Pastor-Moreno, Gustavo

    2002-01-01

    Diamond technology has claimed an important role in industry since non-expensive methods of synthesis such as chemical vapour deposition allow to elaborate cheap polycrystalline diamond. This fact has increased the interest in the scientific community due to the outstanding properties of diamond. Since Pleskov published in 1987 the first paper in electrochemistry, many researchers around the world have studied different aspects of diamond electrochemistry such as reactivity, electrical structure, etc. As part of this worldwide interest these studies reveal new information about diamond electrodes. These studies report investigation of diamond electrodes characterized using structural techniques like scanning electrode microscopy and Raman spectroscopy. A new electrochemical theory based on surface states is presented that explains the metal and the semiconductor behaviour in terms of the doping level of the diamond electrode. In an effort to characterise the properties of diamond electrodes the band edges for hydrogen and oxygen terminated surface are located in organic solvent, hence avoiding possible interference that are present in aqueous solution. The determination of the band edges is performed by Mott-Schottky studies. These allow the calculation of the flat band potential and therefore the band edges. Additional cyclic voltammetric studies are presented for both types of surface termination. Mott-Schottky data and cyclic voltammograms are compared and explained in terms of the band edge localisation. Non-degenerately p-type semiconductor behaviour is presented for hydrogen terminated boron doped diamond. Graphitic surface states on oxidised surface boron doped diamond are responsible for the electrochemistry of redox couples that posses similar energy. Using the simple redox couple 1,4-benzoquinone effect of surface termination on the chemical behaviour of diamond is presented. Hydrogen sublayers in diamond electrodes seem to play an important role for the

  5. Uranium deposits of Gabon and Oklo reactors. Metallogenic model for rich deposits of the lower proterozoic

    International Nuclear Information System (INIS)

    Gauthier-Lafaye, F.

    1986-05-01

    The geology of the Franceville basin (Gabon) is examined: stratigraphy, tectonics and geodynamics. The mobile zone of the Ogooue is specially studied: lithology, metamorphism and tectonics, isotopic geochronologic data are given. The different uranium deposits are described. A whole chapter is devoted to the study of Oklo natural nuclear reactor. A metallogenic model is proposed evidencing conditions required for deposit genesis. Tectonics, microstructures sedimentology, organic matter, diagenesis and uraniferous mineralizations are examined [fr

  6. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  7. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  8. Role of high microwave power on growth and microstructure of thick nanocrystalline diamond films: A comparison with large grain polycrystalline diamond films

    Science.gov (United States)

    Tang, C. J.; Fernandes, A. J. S.; Girão, A. V.; Pereira, S.; Shi, Fa-Nian; Soares, M. R.; Costa, F.; Neves, A. J.; Pinto, J. L.

    2014-03-01

    In this work, we study the growth habit of nanocrystalline diamond (NCD) films by exploring the very high power regime, up to 4 kW, in a 5 kW microwave plasma chemical vapour deposition (MPCVD) reactor, through addition of a small amount of nitrogen and oxygen (0.24%) into 4% CH4 in H2 plasma. The coupled effect of high microwave power and substrate temperature on NCD growth behaviour is systematically investigated by varying only power, while fixing the remaining operating parameters. When the power increases from 2 kW to 4 kW, resulting also in rise of the Si substrate temperature higher than 150 °C, the diamond films obtained maintain the NCD habit, while the growth rate increases significantly. The highest growth rate of 4.6 μm/h is achieved for the film grown at 4 kW, which represents a growth rate enhancement of about 15 times compared with that obtained when using 2 kW power. Possible factors responsible for such remarkable growth rate enhancement of the NCD films are discussed. The evolution of NCD growth characteristics such as morphology, microstructure and texture is studied by growing thick films and comparing it with that of large grain polycrystalline (PCD) films. One important characteristic of the NCD films obtained, in contrast to PCD films, is that irrespective of deposition time (i.e. film thickness), their grain size and surface roughness remain in the nanometer range throughout the growth. Finally, based on our present and previous experimental results, a potential parameter window is established for fast growth of NCD films under high power conditions.

  9. Modeling of gas flow and deposition profile in HWCVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Pflug, Andreas; Höfer, Markus; Harig, Tino; Armgardt, Markus; Britze, Chris; Siemers, Michael; Melzig, Thomas; Schäfer, Lothar

    2015-11-30

    Hot wire chemical vapor deposition (HWCVD) is a powerful technology for deposition of high quality films on large area, where drawbacks of plasma based technology such as defect generation by ion bombardment and high equipment costs are omitted. While processes for diamond coatings using H{sub 2} and CH{sub 4} as precursor have been investigated in detail since 1990 and have been transferred to industry, research also focuses on silicon based coatings with H{sub 2}, SiH{sub 4} and NH{sub 3} as process gases. HWCVD of silicon based coatings is a promising alternative for state-of-the-art radiofrequency-plasma enhanced chemical vapor deposition reactors. The film formation in HWCVD results from an interaction of several concurrent chemical reactions such as gas phase chemistry, film deposition, abstraction of surplus hydrogen bonds and etching by atomic hydrogen. Since there is no easy relation between process parameters and resulting deposition profiles, substantial experimental effort is required to optimize the process for a given film specification and the desired film uniformity. In order to obtain a deeper understanding of the underlying mechanisms and to enable an efficient way of process optimization, simulation methods come into play. While diamond deposition occurs at pressures in the range of several kPa HWCVD deposition of Si based coatings operates at pressures in the 0.1–30 Pa range. In this pressure regime, particle based simulation methods focused on solving the Boltzmann equation are computationally feasible. In comparison to computational fluid dynamics this yields improved accuracy even near small gaps or orifices, where characteristic geometric dimensions approach the order of the mean free path of gas molecules. At Fraunhofer IST, a parallel implementation of the Direct Simulation Monte Carlo (DSMC) method extended by a reactive wall chemistry model is developed. To demonstrate the feasibility of three-dimensional simulation of HWCVD processes

  10. Polycrystalline diamond detectors with three-dimensional electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Lagomarsino, S., E-mail: lagomarsino@fi.infn.it [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Bellini, M. [INO-CNR Firenze, Largo E. Fermi 6, 50125 Firenze (Italy); Brianzi, M. [INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Carzino, R. [Smart Materials-Nanophysics, Istituto Italiano di Tecnologia, Genova, Via Morego 30, 16163 Genova (Italy); Cindro, V. [Joseph Stefan Institute, Jamova Cesta 39, 1000 Ljubljana (Slovenia); Corsi, C. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); LENS Firenze, Via N. Carrara 1, 50019 Sesto Fiorentino (Italy); Morozzi, A.; Passeri, D. [INFN Perugia, Perugia (Italy); Università degli Studi di Perugia, Dipartimento di Ingegneria, via G. Duranti 93, 06125 Perugia (Italy); Sciortino, S. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Servoli, L. [INFN Perugia, Perugia (Italy)

    2015-10-01

    The three-dimensional concept in diamond detectors has been applied, so far, to high quality single-crystal material, in order to test this technology in the best available conditions. However, its application to polycrystalline chemical vapor deposited diamond could be desirable for two reasons: first, the short inter-electrode distance of three-dimensional detectors should improve the intrinsically lower collection efficiency of polycrystalline diamond, and second, at high levels of radiation damage the performances of the poly-crystal material are not expected to be much lower than those of the single crystal one. We report on the fabrication and test of three-dimensional polycrystalline diamond detectors with several inter-electrode distances, and we demonstrate that their collection efficiency is equal or higher than that obtained with conventional planar detectors fabricated with the same material. - Highlights: • Pulsed laser fabrication of polycristalline diamond detectors with 3D electrodes. • Measurement of the charge collection efficiency (CCE) under beta irradiation. • Comparation between the CCE of 3D and conventional planar diamond sensors. • A rationale for the behavior of three-dimensional and planar sensors is given.

  11. Influence of chemical pretreatment of hard metal substrates for diamond deposition

    International Nuclear Information System (INIS)

    Buck, V.; Kluwe, H.; Schmiler, B.; Deuerler, F.

    2001-01-01

    Diamond coated cutting tools are of increasing importance in the fields of high speed cutting, dry machining or machining of special materials such as metal-matrix-composites. A well known problem is the poor adhesion of diamond films on hard metals due to the Co- or Ni-binder that catalyzes the formation of graphite. Several methods - such as the application of intermediate layers or mechanical or chemical pretreatment of the hard metal substrate - have been developed to overcome this effect. Usually chemical pretreatment is used in order to reduce the concentration of binder phase on the surface that is to be coated. Surprisingly pretreatment with agents such as Murakami's solution result in improved adhesion and nucleation of diamond films while the concentration of the binder phase on the surface is enhanced. This 'contradiction' can be explained by proving that the surface is converted into a very thin oxide/hydroxide film. (author)

  12. Electrophoretic preparation and characterization of porous electrodes from diamond nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Riveros, Lyda La Torre; Soto, Keyla; Tryk, Donald A; Cabrera, Carlos R [Department of Chemistry and Center of Nanoscale Materials, University of Puerto Rico, Rio Piedras, PO Box 23346 San Juan, PR 00931-3346 (Puerto Rico)

    2007-04-15

    We carried out chemical purification of commercially available diamond nanoparticles by refluxing in aqueous HNO{sub 3} and characterized the samples by spectroscopic and surface techniques before and after purification. As a first step in the preparation of electrodes for electrochemistry, we have electrophoretically deposited thin, highly uniform films of controlled thickness (1-8 {mu}m) on silicon substrates using the purified diamond nanoparticles. These have been characterized by scanning electron microscopy (SEM). All films obtained were homogeneous in thickness and without macroscopic holes or cracks. Such structures could also be used in many other applications such as fuel cells or lithium batteries. We have performed cyclic voltammetry experiments with these electrodes. The voltammograms of diamond nanoparticles electrophoretically deposited on silicon indicate hydrogen evolution. This demonstrates that the material is useful as electrocatalitic support. This conclusion is supported by the cyclic voltammograms obtained using ferrycyanide (III) chloride and hexaamineruthenium (III) chloride complexes as redox probes. However, these redox probes showed very small peak currents. This behavior could be improved by doping the diamond nanoparticles with an impurity such as boron.

  13. Electrophoretic preparation and characterization of porous electrodes from diamond nanoparticles

    International Nuclear Information System (INIS)

    Riveros, Lyda La Torre; Soto, Keyla; Tryk, Donald A; Cabrera, Carlos R

    2007-01-01

    We carried out chemical purification of commercially available diamond nanoparticles by refluxing in aqueous HNO 3 and characterized the samples by spectroscopic and surface techniques before and after purification. As a first step in the preparation of electrodes for electrochemistry, we have electrophoretically deposited thin, highly uniform films of controlled thickness (1-8 μm) on silicon substrates using the purified diamond nanoparticles. These have been characterized by scanning electron microscopy (SEM). All films obtained were homogeneous in thickness and without macroscopic holes or cracks. Such structures could also be used in many other applications such as fuel cells or lithium batteries. We have performed cyclic voltammetry experiments with these electrodes. The voltammograms of diamond nanoparticles electrophoretically deposited on silicon indicate hydrogen evolution. This demonstrates that the material is useful as electrocatalitic support. This conclusion is supported by the cyclic voltammograms obtained using ferrycyanide (III) chloride and hexaamineruthenium (III) chloride complexes as redox probes. However, these redox probes showed very small peak currents. This behavior could be improved by doping the diamond nanoparticles with an impurity such as boron

  14. Microcrystalline diamond cylindrical resonators with quality-factor up to 0.5 million

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Daisuke; Yang, Chen; Lin, Liwei [Department of Mechanical Engineering, University of California, Berkeley, California 94720 (United States); Heidari, Amir [Department of Mechanical and Aerospace Engineering, University of California, Davis, California 95616 (United States); Najar, Hadi [Department of Electrical and Computer Engineering, University of California, Davis, California 95616 (United States); Horsley, David A. [Department of Mechanical and Aerospace Engineering, University of California, Davis, California 95616 (United States); Department of Electrical and Computer Engineering, University of California, Davis, California 95616 (United States)

    2016-02-01

    We demonstrate high quality-factor 1.5 mm diameter batch-fabricated microcrystalline diamond cylindrical resonators (CR) with quality-factors limited by thermoelastic damping (TED) and surface loss. Resonators were fabricated 2.6 and 5.3 μm thick in-situ boron-doped microcrystalline diamond films deposited using hot filament chemical vapor deposition. The quality-factor (Q) of as-fabricated CR's was found to increase with the resonator diameter and diamond thickness. Annealing the CRs at 700 °C in a nitrogen atmosphere led to a three-fold increase in Q, a result we attribute to thinning of the diamond layer via reaction with residual O{sub 2} in the annealing furnace. Post-anneal Q exceeding 0.5 million (528 000) was measured at the 19 kHz elliptical wineglass modes, producing a ring-down time of 8.9 s. A model for Q versus diamond thickness and resonance frequency is developed including the effects of TED and surface loss. Measured quality factors are shown to agree with the predictions of this model.

  15. High speed dry machining of MMCs with diamond tools

    International Nuclear Information System (INIS)

    Collins, J.L.

    2001-01-01

    The increasing use of metal matrix composites (MMCs) has raised new issues in their machining. Industrial demands for higher speed and dry machining of MMCs with improved component production to closer tolerances have driven the development of new tool materials. In particular, the wear characteristics of synthetic diamond tooling satisfy many of the requirements imposed in cutting these highly abrasive workpieces. The use of diamond tool materials, such as polycrystalline diamond (PCD), has resulted in tool life improvements which, allied with environmental considerations, show great potential for the development of dry cutting. This paper explores the wear characteristics of PCD, which is highly suited to the dry machining of particulate silicon carbide MMCs. Also, two further diamond tool materials are evaluated - chemical vapor deposition (CVD) thick layer diamond and synthetic single crystal diamond. Their suitability for the efficient machining of high volume fraction MMC materials is shown and their potential impact an the subsequent acceptance and integration of MMCs into engineering components is discussed. (author)

  16. Tribological wear behavior of diamond reinforced composite coating

    International Nuclear Information System (INIS)

    Venkateswarlu, K.; Ray, Ajoy Kumar; Gunjan, Manoj Kumar; Mondal, D.P.; Pathak, L.C.

    2006-01-01

    In the present study, diamond reinforced composite (DRC) coating has been applied on mild steel substrate using thermal spray coating technique. The composite powder consists of diamond, tungsten carbide, and bronze, which was mixed in a ball mill prior deposition by thermal spray. The microstructure and the distribution of diamond and tungsten carbide particle in the bronze matrix were studied. The DRC-coated mild steel substrates were assessed in terms of their high stress abrasive wear and compared with that of uncoated mild steel substrates. It was observed that when sliding against steel, the DRC-coated sample initially gains weight, but then loses the transferred counter surface material. In case of abrasive wear, the wear rate was greatly reduced due to the coating; wherein the wear rate decreased with increase in diamond content

  17. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  18. Fabrication and characterization of boron-doped nanocrystalline diamond-coated MEMS probes

    Science.gov (United States)

    Bogdanowicz, Robert; Sobaszek, Michał; Ficek, Mateusz; Kopiec, Daniel; Moczała, Magdalena; Orłowska, Karolina; Sawczak, Mirosław; Gotszalk, Teodor

    2016-04-01

    Fabrication processes of thin boron-doped nanocrystalline diamond (B-NCD) films on silicon-based micro- and nano-electromechanical structures have been investigated. B-NCD films were deposited using microwave plasma assisted chemical vapour deposition method. The variation in B-NCD morphology, structure and optical parameters was particularly investigated. The use of truncated cone-shaped substrate holder enabled to grow thin fully encapsulated nanocrystalline diamond film with a thickness of approx. 60 nm and RMS roughness of 17 nm. Raman spectra present the typical boron-doped nanocrystalline diamond line recorded at 1148 cm-1. Moreover, the change in mechanical parameters of silicon cantilevers over-coated with boron-doped diamond films was investigated with laser vibrometer. The increase of resonance to frequency of over-coated cantilever is attributed to the change in spring constant caused by B-NCD coating. Topography and electrical parameters of boron-doped diamond films were investigated by tapping mode AFM and electrical mode of AFM-Kelvin probe force microscopy (KPFM). The crystallite-grain size was recorded at 153 and 238 nm for boron-doped film and undoped, respectively. Based on the contact potential difference data from the KPFM measurements, the work function of diamond layers was estimated. For the undoped diamond films, average CPD of 650 mV and for boron-doped layer 155 mV were achieved. Based on CPD values, the values of work functions were calculated as 4.65 and 5.15 eV for doped and undoped diamond film, respectively. Boron doping increases the carrier density and the conductivity of the material and, consequently, the Fermi level.

  19. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  20. The enhanced nucleation factors and field electron emission property of diamond synthesized by RF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Yang Guangmin [College of Physics, Changchun Normal University, Jilin Province, Changchun 130032 (China); Xu Qiang [Changchun Institute of Technology, Changchun 130021 (China); Wang Xin [Department of Materials Science, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); Zheng Weitao, E-mail: wtzheng@jlu.edu.cn [Department of Materials Science, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Submicron-diamond, microcrystalline diamond, and nanocrystalline diamond were synthesized using different substrates and pretreatment methods. Black-Right-Pointing-Pointer Three techniques have been developed to create some density of diamond on substrate surfaces by PECVD deposition procedure. Black-Right-Pointing-Pointer The field electron emission property was also investigated. - Abstract: In this work, submicron-diamond (SD), microcrystalline diamond (MD), and nanocrystalline diamond (ND) were synthesized using different substrates and pretreatment methods. In order to investigate influencing factors on nucleation, three techniques have been developed to create some density of diamond on substrate surfaces: (a) with chemical-etching technique (NaOH water solution at 80 Degree-Sign C for 3, 8, 15 min, respectively), (b) (Co(NO{sub 3}){sub 3}/Mg(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O or Fe(NO{sub 3}){sub 3}{center_dot}9H{sub 2}O/Mg(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O alcohol solution) dripping on silicon substrate, and (c) NaCl substrate directly by following a same PECVD deposition procedure. Furthermore, the field electron emission property was also investigated.

  1. Infrared absorption study of hydrogen incorporation in thick nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Tang, C.J.; Neves, A.J.; Carmo, M.C.

    2005-01-01

    We present an infrared (IR) optical absorbance study of hydrogen incorporation in nanocrystalline diamond films. The thick nanocrystalline diamond films were synthesized by microwave plasma-assisted chemical vapor deposition and a high growth rate about 3.0 μm/h was achieved. The morphology, phase quality, and hydrogen incorporation were assessed by means of scanning electron microscopy, Raman spectroscopy, and Fourier-transform infrared spectroscopy (FTIR). Large amount of hydrogen bonded to nanocrystalline diamond is clearly evidenced by the huge CH stretching band in the FTIR spectrum. The mechanism of hydrogen incorporation is discussed in light of the growth mechanism of nanocrystalline diamond. This suggests the potential of nanocrystalline diamond for IR electro-optical device applications

  2. Diamond thin films: giving biomedical applications a new shine.

    Science.gov (United States)

    Nistor, P A; May, P W

    2017-09-01

    Progress made in the last two decades in chemical vapour deposition technology has enabled the production of inexpensive, high-quality coatings made from diamond to become a scientific and commercial reality. Two properties of diamond make it a highly desirable candidate material for biomedical applications: first, it is bioinert, meaning that there is minimal immune response when diamond is implanted into the body, and second, its electrical conductivity can be altered in a controlled manner, from insulating to near-metallic. In vitro, diamond can be used as a substrate upon which a range of biological cells can be cultured. In vivo , diamond thin films have been proposed as coatings for implants and prostheses. Here, we review a large body of data regarding the use of diamond substrates for in vitro cell culture. We also detail more recent work exploring diamond-coated implants with the main targets being bone and neural tissue. We conclude that diamond emerges as one of the major new biomaterials of the twenty-first century that could shape the way medical treatment will be performed, especially when invasive procedures are required. © 2017 The Authors.

  3. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  4. A multilayer innovative solution to improve the adhesion of nanocrystalline diamond coatings

    Energy Technology Data Exchange (ETDEWEB)

    Poulon-Quintin, A., E-mail: poulon@icmcb-bordeaux.cnrs.fr [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Faure, C.; Teulé-Gay, L.; Manaud, J.P. [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France)

    2015-03-15

    Highlights: • Improvement of the NCD adhesion on WC-12%Co substrates for tooling applications using a multi-interlayer additional system. • Reduction of the graphite layer thickness and continuity at the interface with the diamond. • Transmission electron microscopy study for a better understanding of the diffusion phenomena occurring at the interfaces. - Abstract: Nano-crystalline diamond (NCD) films grown under negative biased substrates by chemical vapor deposition (CVD) are widely used as surface overlay coating onto cermet WC-Co cutting tools to get better performances. To improve the diamond adhesion to the cermet substrate, suitable multi-layer systems have been added. They are composed of a cobalt diffusion barrier close to the substrate (single and sequenced nitrides layers) coated with a nucleation extra layer to improve the nucleus density of diamond during CVD processing. For all systems, before and after diamond deposition, transmission electron microscopy (TEM) has been performed for a better understanding of the diffusion phenomena occurring at the interfaces and to evaluate the presence of graphitic species at the interface with the diamond. Innovative multilayer system dedicated to the regulation of cobalt diffusion coated with a bilayer system optimized for the carbon diffusion control, is shown as an efficient solution to significantly reduce the graphite layer formation at the interface with the diamond down to 10 nm thick and to increase the adhesion of NCD diamond layer as scratch-tests confirm.

  5. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  6. Nanocrystalline diamond coatings for mechanical seals applications.

    Science.gov (United States)

    Santos, J A; Neto, V F; Ruch, D; Grácio, J

    2012-08-01

    A mechanical seal is a type of seal used in rotating equipment, such as pumps and compressors. It consists of a mechanism that assists the connection of the rotating shaft to the housings of the equipments, preventing leakage or avoiding contamination. A common cause of failure of these devices is end face wear out, thus the use of a hard, smooth and wear resistant coating such as nanocrystalline diamond would be of great importance to improve their working performance and increase their lifetime. In this paper, different diamond coatings were deposited by the HFCVD process, using different deposition conditions. Additionally, the as-grown films were characterized for, quality, morphology and microstructure using scanning electron microscopy (SEM) and Raman spectroscopy. The topography and the roughness of the films were characterized by atomic force microscopy (AFM).

  7. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  8. Fluorine and boron co-doped diamond-like carbon films deposited by pulsed glow discharge plasma immersion ion processing

    CERN Document Server

    He, X M; Peters, A M; Taylor, B; Nastasi, M

    2002-01-01

    Fluorine (F) and boron (B) co-doped diamond-like carbon (FB-DLC) films were prepared on different substrates by the plasma immersion ion processing (PIIP) technique. A pulse glow discharge plasma was used for the PIIP deposition and was produced at a pressure of 1.33 Pa from acetylene (C sub 2 H sub 2), diborane (B sub 2 H sub 6), and hexafluoroethane (C sub 2 F sub 6) gas. Films of FB-DLC were deposited with different chemical compositions by varying the flow ratios of the C sub 2 H sub 2 , B sub 2 H sub 6 , and C sub 2 F sub 6 source gases. The incorporation of B sub 2 H sub 6 and C sub 2 F sub 6 into PIIP deposited DLC resulted in the formation of F-C and B-C hybridized bonding structures. The levels of the F and B concentrations effected the chemical bonding and the physical properties as was evident from the changes observed in density, hardness, stress, friction coefficient, and contact angle of water on films. Compared to B-doped or F-doped DLC films, the F and B co-doping of DLC during PIIP deposition...

  9. Morphologies of fission fragment impacts in diamond and silica

    International Nuclear Information System (INIS)

    Gammage, R.B.; Espinosa, G.; Vazquez, C.; Moreno, A.

    2005-01-01

    The morphologies of fission-fragment impact craters in diamond and silica were investigated by atomic force microscopy. The impacts produced micron-sized craters that were especially obvious in diamond; irradiations in air may have allowed the cratering in carbon to be oxidally enhanced. The eject deposit preferentially at ordered sites and have the appearance of hillocks of a few tenths microns in size. On quartz, the hillocks have a parallel-perpendicular, x-y pattern; on diamond, the hillocks form one dimensional, parallel rows. In contrast, the hillocks on amorphous silica fiber show a random pattern. (Author)

  10. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique; Etude et realisation de detecteurs de rayonnements a base de films de diamant polycristallin elabores par depot chimique en phase vapeur assiste par plasma micro-onde

    Energy Technology Data Exchange (ETDEWEB)

    Jany, Ch

    1998-10-29

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead {eta} to decrease. In contrast, {eta} was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp{sup 2} phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  11. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  12. Corrosion products, activity transport and deposition in boiling water reactor recirculation systems

    International Nuclear Information System (INIS)

    Alder, H.P.; Buckley, D.; Grauer, R.; Wiedemann, K.H.

    1992-01-01

    The deposition of activated corrosion products in the recirculation loops of Boiling Water Reactors produces increased radiation levels which lead to a corresponding increase in personnel radiation dose during shut down and maintenance. The major part of this dose rate is due to cobalt-60. Based on a comprehensive literature study concerning this theme, it has been attempted to identify the individual stages of the activity build-up and to classify their importance. The following areas are discussed in detail: The origins of the corrosion products and of cobalt-59 in the reactor feedwaters; the consolidation of the cobalt in the fuel pins deposits (activation); the release and transport of cobalt-60; the build-up of cobalt-60 in the corrosion products in the recirculation loops. Existing models of the build-up of circuit radioactivity are discussed and the operating experiences from selected reactors are summarized. 90 refs, figs and tabs

  13. Microcontact printing of monodiamond nanoparticles: an effective route to patterned diamond structure fabrication.

    Science.gov (United States)

    Zhuang, Hao; Song, Bo; Staedler, Thorsten; Jiang, Xin

    2011-10-04

    By combining microcontact printing with a nanodiamond seeding technique, a precise micrometer-sized chemical vapor deposition (CVD) diamond pattern have been obtained. On the basis of the guidance of basic theoretical calculations, monodisperse detonation nanodiamonds (DNDs) were chosen as an "ink" material and oxidized poly(dimethylsiloxane) (PDMS) was selected to serve as a stamp because it features a higher interaction energy with the DNDs compared to that of the original PDMS. The adsorption kinetics shows an approximately exponential law with a maximum surface DND density of 3.4 × 10(10) cm(-2) after 20 min. To achieve a high transfer ratio of DNDs from the PDMS stamp to a silicon surface, a thin layer of poly(methyl methacrylate) (PMMA) was spin coated onto the substrates. A microwave plasma chemical vapor deposition system was used to synthesize the CVD diamond on the seeded substrate areas. Precise diamond patterns with a low expansion ratio (3.6%) were successfully prepared after 1.5 h of deposition. Further increases in the deposition time typically lead to a high expansion rate (∼0.8 μm/h). The general pattern shape, however, did not show any significant change. Compared with conventional diamond pattern deposition methods, the technique described here offers the advantages of being simple, inexpensive, damage-free, and highly compatible, rendering it attractive for a broad variety of industrial applications. © 2011 American Chemical Society

  14. Investigation of noble metal deposition behaviour in boiling water reactors. The NORA project

    International Nuclear Information System (INIS)

    Ritter, Stefan; Karastoyanov, Vasil; Abolhassani-Dadras, Sousan; Guenther-Leopold, Ines; Kivel, Niko

    2010-01-01

    NobleChem trademark is a technology developed by General Electric to reduce stress corrosion cracking (SCC) in reactor internals and recirculation pipes of boiling water reactors (BWRs) while preventing the negative side effects of classic hydrogen water chemistry. Noble metals (Pt, Rh) acting as electrocatalysts for the recombination of O 2 and H 2 O 2 with H 2 to H 2 O and thus reducing the corrosion potential more efficiently are injected into the feedwater during reactor shutdown (classic method) or on-line during power operation. They are claimed to deposit as very fine metallic particles on all water-wetted surfaces, including the most critical regions inside existing cracks, and to stay electrocatalytic over long periods of time. The effectiveness of this technology in plants still remains to be demonstrated. Based on highly credible laboratory experiments down to the sub-μg . kg -1 Pt concentration range, SCC mitigation may be expected, provided that a stoichiometric excess of H 2 and a sufficient surface coverage with very fine Pt particles exist simultaneously at the critical locations [1]. Very little is known about the deposition and (re-)distribution behaviour of the Pt in the reactor. For the validation of this technique the research project NORA (noble metal deposition behaviour in BWRs) has been started at the Paul Scherrer Institute (PSI) with two main objectives: (i) to gain phenomenological insights and a better basic understanding of the Pt distribution and deposition behaviour in BWRs; (ii) to develop and qualify a non-destructive technique to characterise the size and distribution of the Pt particles and the local concentration of Pt on reactor components. This paper presents the objectives of the project, the planned work and a brief description of the status of the project. (orig.)

  15. Indian experience with radionuclide transport, deposition and decontamination in water-cooled nuclear power reactors

    International Nuclear Information System (INIS)

    Narasimhan, S.V.; Das, P.C.; Lawrence, D.A.; Mathur, P.K.; Venkateswarlu, K.S.

    1983-01-01

    The present generation of water-cooled nuclear reactors uses construction materials chosen with utmost care so that minimum corrosion occurs during the life of the reactor. As interaction between the primary coolant and the construction materials is unavoidable, the coolant is chemically treated to achieve maximum compatibility. First measurements of the chemical and radiochemical composition of the crud present on the in-core and out-of-core primary heat transport system surfaces of a pressurized heavy-water-moderated and cooled reactor (PHWR) are given; then experience in India in the development of a low temperature, one-stage decontaminating formulation for chemical decontamination of the radioactive deposits formed on stainless steel surfaces under BWR conditions is discussed. The effect of the magnitude of the transients in parameters such as reactor power, system temperature, dissolved oxygen content in the coolant, etc. on the nature and migration behaviour of primary heat transport system crud in a PHWR is described. Contributions to radioactive sources and insoluble crud from different primary heat transport system materials are identified and correlated with reactor operations in a PHWR. Man-rem problems faced by nuclear reactors, especially during off-line maintenance, stress the need for reducing the deposited radioactive sources from system surfaces which would otherwise be accessible. Laboratory and on-site experimentation was carried out to effect chemical decontamination on the radioactive deposits formed on the stainless steel surfaces under BWR conditions. Both the reducing and oxidizing formulations were subsequently used in a small-scale, in-plant trial in the clean-up system of a BWR. More than 85% of the deposited 60 Co activity was found to have been removed by the oxidizing formulation. Efforts to develop a decontaminating mixture containing a reducing agent with the help of a circulating loop are in progress in the laboratory. (author)

  16. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors

    Directory of Open Access Journals (Sweden)

    Jiangwei Liu

    2018-06-01

    Full Text Available Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high-k oxides on hydrogenated-diamond (H-diamond for metal-oxide-semiconductor (MOS capacitors and MOS field-effect transistors (MOSFETs is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High-k oxide insulators are deposited using atomic layer deposition (ALD and sputtering deposition (SD techniques. Electrical properties of the H-diamond MOS capacitors with high-k oxides of ALD-Al2O3, ALD-HfO2, ALD-HfO2/ALD-Al2O3 multilayer, SD-HfO2/ALD-HfO2 bilayer, SD-TiO2/ALD-Al2O3 bilayer, and ALD-TiO2/ALD-Al2O3 bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al2O3/H-diamond and SD-HfO2/ALD-HfO2/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO2/ALD-Al2O3 bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p-type channel characteristics for the ALD-Al2O3/H-diamond, SD-HfO2/ALD-HfO2/H-diamond, and ALD-TiO2/ALD-Al2O3/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high-k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  17. Measurements of gamma-ray energy deposition in a heterogeneous reactor experimental configuration and their analysis

    International Nuclear Information System (INIS)

    Calamand, D.; Wouters, R. de; Knipe, A.D.; Menil, R.

    1984-10-01

    An important contribution to the power output of a fast reactor is provided by the energy deposition from gamma-rays, and is particularly significant in the inner fertile zones of heterogeneous breeder reactor designs. To establish the validity of calculational methods and data for such systems an extensive series of measurements was performed in the zero power reactor Masurca, as part of the RACINE programme. The experimental study involved four European laboratories and the measurement techniques covered a range of thermoluminescent dosemeters and an ionization chamber. The present paper describes and compares the gamma-ray energy deposition measurements and analysis

  18. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  19. Low resistance polycrystalline diamond thin films deposited by hot ...

    Indian Academy of Sciences (India)

    Administrator

    silicon wafers using a hydrocarbon gas (CH4) highly diluted with H2 at low pressure in a hot filament chemi- cal vapour ... the laser spot was focused on the sample surface using a ... tative spectra of diamond thin films with a typical dia-.

  20. Neutron detection at jet using artificial diamond detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Marinelli, M.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Montereali, R.M.; Vincenti, M.A.; Murari, A.

    2007-01-01

    Artificial diamond neutron detectors recently proved to be promising devices to measure the neutron production on large experimental fusion machines. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, low sensitivity to gamma rays, fast response and high energy resolution. High quality 'electronic grade' diamond films are produced through microwave chemical vapour deposition (CVD) technique. Two CVD diamond detectors have been installed and operated at joint European torus (JET), Culham Science Centre, UK. One of these detectors was a polycrystalline CVD diamond film; about 12 mm 2 area and 30 μm thickness while the second was a monocrystalline film of about 5 mm 2 area and 20 μm thick. Both diamonds were covered with 2 μm of lithium fluoride (LiF) 95% enriched in 6 Li. The LiF layer works as a neutron-to-charged particle converter so these detectors can measure thermalized neutrons. Their output signals were compared to JET total neutron yield monitors (KN1 diagnostic) realized with a set of uranium fission chambers. Despite their small active volumes the diamond detectors were able to measure total neutron yields with good reliability and stability during the recent JET experimental campaign of 2006

  1. Cutting force and wear evaluation in peripheral milling by CVD diamond dental tools

    International Nuclear Information System (INIS)

    Polini, R.; Allegri, A.; Guarino, S.; Quadrini, F.; Sein, H.; Ahmed, W.

    2004-01-01

    Co-cemented tungsten carbide (WC-Co) tools are currently employed in dental application for prosthesis fabrication. The deposition of a diamond coating onto WC-Co tools could allow both to increase the tool life and tool performance at higher speeds. However, at present it is very difficult to quantify the effective advantage of the application of a diamond coating onto dental tools compared to traditional uncoated tools. Therefore, in this work, we have deposited diamond coatings onto WC-Co dental tools having different geometries by Hot Filament Chemical Vapour Deposition (HFCVD). Prior to deposition, the WC-Co tools were pre-treated in order to roughen the surface and to modify the chemical surface composition. The use of the HFCVD process enabled the deposition of a uniform coating despite the complex geometries of the dental mills. For the first time, in accordance to the knowledge of the authors, we have studied and compared the cutting behaviour of both virgin and diamond-coated dental tools by measuring both wear and cutting force time evolution under milling a very hard Co-Cr-Mo dental alloy. To ensure constant cutting rate (20,000-r.p.m. cutting rate, 0.01-m/min feed rate and 0.5-mm depth of cut), a proper experimental apparatus was used. Three different mill geometries were considered in both coated and uncoated conditions. The results showed that, under the high-speed conditions employed, uncoated tools underwent to catastrophic failure within a few seconds of machining. Diamond-coated tools exhibited much longer tool lives. Lower forces were measured when the coated tool was employed due to the much lower material-mill friction. The best behaviour was observed for coated mills with the presence of a chip-breaker

  2. Diamonds: Exploration, mines and marketing

    Science.gov (United States)

    Read, George H.; Janse, A. J. A. (Bram)

    2009-11-01

    The beauty, value and mystique of exceptional quality diamonds such as the 603 carat Lesotho Promise, recovered from the Letseng Mine in 2006, help to drive a multi-billion dollar diamond exploration, mining and marketing industry that operates in some 45 countries across the globe. Five countries, Botswana, Russia, Canada, South Africa and Angola account for 83% by value and 65% by weight of annual diamond production, which is mainly produced by four major companies, De Beers, Alrosa, Rio Tinto and BHP Billiton (BHPB), which together account for 78% by value and 72% by weight of annual diamond production for 2007. During the last twelve years 16 new diamond mines commenced production and 4 re-opened. In addition, 11 projects are in advanced evaluation and may begin operations within the next five years. Exploration for diamondiferous kimberlites was still energetic up to the last quarter of 2008 with most work carried out in Canada, Angola, Democratic Republic of the Congo (DRC) and Botswana. Many kimberlites were discovered but no new economic deposits were outlined as a result of this work, except for the discovery and possible development of the Bunder project by Rio Tinto in India. Exploration methods have benefitted greatly from improved techniques of high resolution geophysical aerial surveying, new research into the geochemistry of indicator minerals and further insights into the formation of diamonds and the relation to tectonic/structural events in the crust and mantle. Recent trends in diamond marketing indicate that prices for rough diamonds and polished goods were still rising up to the last quarter of 2008 and subsequently abruptly sank in line with the worldwide financial crisis. Most analysts predict that prices will rise again in the long term as the gap between supply and demand will widen because no new economic diamond discoveries have been made recently. The disparity between high rough and polished prices and low share prices of publicly

  3. Thick Nano-Crystalline Diamond films for fusion applications

    Energy Technology Data Exchange (ETDEWEB)

    Dawedeit, Christoph [Technical Univ. of Munich (Germany)

    2010-06-30

    This Diplomarbeit deals with the characterization of 9 differently grown diamond samples. Several techniques were used to determine the quality of these specimens for inertial confinement fusion targets. The quality of chemical vapor deposition diamond is usually considered in terms of the proportion of sp3-bonded carbon to sp2-bonded carbon in the sample. For fusion targets smoothness, Hydrogen content and density of the diamonds are further important characteristics. These characteristics are analyzed in this thesis. The research for thesis was done at Lawrence Livermore National Laboratory in collaboration with the Fraunhofer Institut für angewandte Festkörperphysik Freiburg, Germany. Additionally the Lehrstuhl fuer Nukleartechnik at Technical University of Germany supported the work.

  4. Experimental analysis and theoretical model for anomalously high ideality factors in ZnO/diamond p-n junction diode

    International Nuclear Information System (INIS)

    Wang Chengxin; Yang Guowei; Liu Hongwu; Han Yonghao; Luo Jifeng; Gao Chunxiao; Zou Guangtian

    2004-01-01

    High-quality heterojunctions between p-type diamond single-crystalline films and highly oriented n-type ZnO films were fabricated by depositing the p-type diamond single-crystal films on the I o -type diamond single crystal using a hot filament chemical vapor deposition, and later growing a highly oriented n-type ZnO film on the p-type diamond single-crystal film by magnetron sputtering. Interestingly, anomalously high ideality factors (n>>2.0) in the prepared ZnO/diamond p-n junction diode in the interim bias voltage range were measured. For this, detailed electronic characterizations of the fabricated p-n junction were conducted, and a theoretical model was proposed to clarify the much higher ideality factors of the special heterojunction diode

  5. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  6. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    International Nuclear Information System (INIS)

    Wang, Xiaoping; Wang, Jinye; Wang, Lijun

    2016-01-01

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibits the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm"2 at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.

  7. Modifying thin film diamond for electronic applications

    International Nuclear Information System (INIS)

    Baral, B.

    1999-01-01

    The unique combination of properties that diamond possesses are being exploited in both electronic and mechanical applications. An important step forward in the field has been the ability to grow thin film diamond by chemical vapour deposition (CVD) methods and to control parameters such as crystal orientation, dopant level and surface roughness. An extensive understanding of the surface of any potential electronic material is vital to fully comprehend its behaviour within device structures. The surface itself ultimately controls key aspects of device performance when interfaced with other materials. This study has provided insight into important chemical reactions on polycrystalline CVD diamond surfaces, addressing how certain surface modifications will ultimately affect the properties of the material. A review of the structure, bonding, properties and potential of diamond along with an account of the current state of diamond technology and CVD diamond growth is provided. The experimental chapter reviews bulk material and surface analytical techniques employed in this work and is followed by an investigation of cleaning treatments for polycrystalline CVD diamond aimed at removing non-diamond carbon from the surface. Selective acid etch treatments are compared and contrasted for efficacy with excimer laser irradiation and hydrogen plasma etching. The adsorption/desorption kinetics of potential dopant-containing precursors on polycrystalline CVD diamond surfaces have been investigated to compare their effectiveness at introducing dopants into the diamond during the growth stage. Both boron and sulphur-containing precursor compounds have been investigated. Treating polycrystalline CVD diamond in various atmospheres / combination of atmospheres has been performed to enhance electron field emission from the films. Films which do not emit electrons under low field conditions can be modified such that they emit at fields as low as 10 V/μm. The origin of this enhancement

  8. Electro-deposition of nickel, on reactor seal discs

    International Nuclear Information System (INIS)

    Vernekar, R.B.; Bhide, G.K.

    1977-01-01

    The effect of plating variables, acidity, current density and temperature on hardness of nickel deposited from purified nickel sulfamate bath has been investigated and optimum conditions for electrodeposition of nickel plating of hardness 160-170 VHN on reactor seal discs are established. Sodium lauryl sulfate was added as a wetting agent to the bath to overcome pitting tendency of the deposit. Factors affecting hydrogen absorption by electrodeposited nickel are also discussed. It is observed that : (1) at a pH 3.5 - 4.0 the decomposition rate of sulfamate salt is almost negligible and is the best value for bath operation, (2) at 15 A/dm 2 the hardness value is consistently around 160-170 VHN, (3) the temperatures less than 50 0 C give harder deposits and the bath is best operated at temperature 50-60 0 C and (4) annealing of the plated discs substantially reduces the hardness. (M.G.B.)

  9. CFD Modeling of Sodium-Oxide Deposition in Sodium-Cooled Fast Reactor Compact Heat Exchangers

    Energy Technology Data Exchange (ETDEWEB)

    Tatli, Emre; Ferroni, Paolo; Mazzoccoli, Jason

    2015-09-02

    The possible use of compact heat exchangers (HXs) in sodium-cooled fast reactors (SFR) employing a Brayton cycle is promising due to their high power density and resulting small volume in comparison with conventional shell-and-tube HXs. However, the small diameter of their channels makes them more susceptible to plugging due to Na2O deposition during accident conditions. Although cold traps are designed to reduce oxygen impurity levels in the sodium coolant, their failure, in conjunction with accidental air ingress into the sodium boundary, could result in coolant oxygen levels that are above the saturation limit in the cooler parts of the HX channels. This can result in Na2O crystallization and the formation of solid deposits on cooled channel surfaces, limiting or even blocking coolant flow. The development of analysis tools capable of modeling the formation of these deposits in the presence of sodium flow will allow designers of SFRs to properly size the HX channels so that, in the scenario mentioned above, the reactor operator has sufficient time to detect and react to the affected HX. Until now, analytical methodologies to predict the formation of these deposits have been developed, but never implemented in a high-fidelity computational tool suited to modern reactor design techniques. This paper summarizes the challenges and the current status in the development of a Computational Fluid Dynamics (CFD) methodology to predict deposit formation, with particular emphasis on sensitivity studies on some parameters affecting deposition.

  10. Development of Innovative Accident Tolerant High Thermal Conductivity UO2-Diamond Composite Fuel Pellets

    Energy Technology Data Exchange (ETDEWEB)

    Tulenko, James [Univ. of Florida, Gainesville, FL (United States); Subhash, Ghatu [Univ. of Florida, Gainesville, FL (United States)

    2016-01-01

    The University of Florida (UF) evaluated a composite fuel consisting of UO2 powder mixed with diamond micro particles as a candidate as an accident-tolerant fuel (ATF). The research group had previous extensive experience researching with diamond micro particles as an addition to reactor coolant for improved plant thermal performance. The purpose of this research work was to utilize diamond micro particles to develop UO2-Diamond composite fuel pellets with significantly enhanced thermal properties, beyond that already being measured in the previous UF research projects of UO2 – SiC and UO2 – Carbon Nanotube fuel pins. UF is proving with the current research results that the addition of diamond micro particles to UO2 may greatly enhanced the thermal conductivity of the UO2 pellets producing an accident-tolerant fuel. The Beginning of life benefits have been proven and fuel samples are being irradiated in the ATR reactor to confirm that the thermal conductivity improvements are still present under irradiation.

  11. Preliminary results from a novel CVD diamond detector system for molecular imaging applications

    International Nuclear Information System (INIS)

    Mahon, A.R.

    1996-01-01

    A novel biomolecular imaging system incorporating a Chemical Vapour Deposition diamond detector is in development. The synthetic diamond is used as a UV detector to image nucleic acids in electrophoresis gels. The microstrip diamond detector currently has a spatial resolution of 30 μm. Preliminary results are presented which include: QE measurements of diamond detectors, detector time response, detector UV response and current detection limits of biomolecules in gel. The potential applications of the technology, and its significant advantages in speed and sensitivity over the current systems are discussed

  12. Radiation hard diamond sensors for future tracking applications

    International Nuclear Information System (INIS)

    Adam, W.; Boer, W. de; Borchi, E.

    2006-01-01

    Progress in experimental particle physics in the coming decade depends crucially upon the ability to carry out experiments in high-radiation areas. In order to perform these complex and expensive experiments, new radiation hard technologies must be developed. This paper discusses the use of diamond detectors in future tracking applications and their survivability in the highest radiation environments. We present results of devices constructed with the newest polycrystalline and single crystal Chemical Vapor Deposition diamond and their tolerance to radiation

  13. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  14. Polymer-based nucleation for chemical vapour deposition of diamond

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Kromka, Alexander; Varga, Marián

    2016-01-01

    Roč. 133, č. 29 (2016), 1-7, č. článku 43688. ISSN 0021-8995 R&D Projects: GA ČR GC15-22102J Institutional support: RVO:68378271 Keywords : copolymers * composites * diamond * nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.860, year: 2016

  15. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Z., E-mail: remes@fzu.cz [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A. [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Girard, H.A.; Arnault, J.-C.; Bergonzo, P. [CEA, LIST, Diamond Sensors Laboratory, F-91191 Gif-sur-Yvette (France)

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000–1500 cm{sup −1} spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  16. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Science.gov (United States)

    Remes, Z.; Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A.; Girard, H. A.; Arnault, J.-C.; Bergonzo, P.

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000-1500 cm-1 spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  17. Growth, characterization and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, R. F.; Glass, J. T.; Nemanich, R. J.; Bozeman, S. P.; Sowers, A. T.

    1995-06-01

    Experimental and theoretical studies concerned with interface interactions of diamond with Si, Ni, and Ni3Si substrates have been conducted. Oriented diamond films deposited on (100) Si were characterized by polar Raman, polar x-ray diffraction (XRD), and cross-sectional high resolution transmission electron microscopy (HRTEM). These sutides showed that the diamond(100)/Si(100) interface adopted the 3:2-match arrangement rather than a 45 deg rotation. Extended Hueckel tight-binding (EHTB) electronic structure calculations for a model system revealed that the interface interaction favors the 3:2-match arrangement. Growth on polycrystalline Ni3Si resulted in oriented diamond particles; under the same growth conditions, graphite was formed on the nickel substrate. Our EHTB electronic structure calculations showed that the (111) and (100) surfaces of Ni3Si have a strong preference for diamond nucleation over graphite nucleation, but this was not the case for the (111) and (100) surfaces of Ni.

  18. Diamond Windows for High Powered Microwave Transmission. Final Report

    International Nuclear Information System (INIS)

    Gat, R.

    2011-01-01

    This phase II SBIR developed technology for manufacturing diamond windows for use in high energy density photon transmission e.g. microwave or laser light photons. Microwave sources used in fusion research require microwave extraction windows with high thermal conductivity, low microwave absorption, and low resistance to thermal cracking. Newly developed, man made diamond windows have all three of these properties, but these windows are prohibitively expensive. This limits the natural progress of these important technologies to higher powers and slows the development of additional applications. This project developed a lower cost process for manufacturing diamond windows using microwave plasma. Diamond windows were deposited. A grinding process was used to provide optical smoothness for 2 cm diameter diamond windows that met the parallelism specifications for fusion beam windows. The microwave transmission performance (loss tangent) of one of the windows was measured at 95GHz to be less than 10-4, meeting specifications for utilization in the ITER tokamak.

  19. Recent results on the development of radiation-hard diamond detectors

    CERN Document Server

    Conway, J S; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Russ, J; Schnetzer, S; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Thomson, G B; Trawick, M; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Charged particle detectors made from chemical vapor deposition (CVD) diamond have radiation hardness greatly exceeding that of silicon- based detectors. The CERN-based RD42 Collaboration has developed and tested CVD diamond microstrip and pixel detectors with an eye to their application in the intense radiation environment near the interaction region of hadron colliders. This paper presents recent results from tests of these detectors. (4 refs).

  20. Preparation of Ti-coated diamond particles by microwave heating

    International Nuclear Information System (INIS)

    Gu, Quanchao; Peng, Jinghui; Xu, Lei; Srinivasakannan, C.

    2016-01-01

    Highlights: • The Ti-Coated diamond particles have been prepared using by microwave heating. • The uniform and dense coating can be produced, and the TiC species was formed. • With increases the temperature results in the thickness of coating increased. • The coating/diamond interfacial bonding strength increased with temperature increasing until 760 °C, then decreased. - Abstract: Depositing strong carbide-forming elements on diamond surface can dramatically improve the interfacial bonding strength between diamond grits and metal matrix. In the present work, investigation on the preparation of Ti-coated diamond particles by microwave heating has been conducted. The morphology, microstructure, and the chemical composition of Ti-coated diamond particles were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and energy dispersive x-ray spectrometer (EDX). The thickness of Ti coating was measured and the interfacial binding strength between Ti coating and diamond was analyzed. The results show that the surface of the diamond particles could be successfully coated with Ti, forming a uniform and continuous Ti-coated layer. The TiC was found to form between the surface of diamond particles and Ti-coated layer. The amount of TiC as well as the thickness of coating increased with increasing coating temperature, furthermore, the grain size of the coating also grew gradually. The interfacial bonding strength between coating and diamond was found to be best at the temperature of 760 °C.

  1. Preparation of Ti-coated diamond particles by microwave heating

    Energy Technology Data Exchange (ETDEWEB)

    Gu, Quanchao [National Local Joint Laboratory of Engineering Application of Microwave Energy and Equipment Technology, Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Yunnan Copper Smelting and Processing Complex, Yunnan Copper (Group) CO., LTD., Kunming 650102 (China); International Joint Research Center of Advanced Preparation of Superhard Materials Field, Kunming Academician Workstation of Advanced Preparation of Superhard Materials Field, Kunming 650093 (China); Peng, Jinghui [National Local Joint Laboratory of Engineering Application of Microwave Energy and Equipment Technology, Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093 (China); International Joint Research Center of Advanced Preparation of Superhard Materials Field, Kunming Academician Workstation of Advanced Preparation of Superhard Materials Field, Kunming 650093 (China); Xu, Lei, E-mail: xulei_kmust@aliyun.com [National Local Joint Laboratory of Engineering Application of Microwave Energy and Equipment Technology, Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Mechanical Engineering, University of Washington, Seattle, WA 98195 (United States); International Joint Research Center of Advanced Preparation of Superhard Materials Field, Kunming Academician Workstation of Advanced Preparation of Superhard Materials Field, Kunming 650093 (China); Srinivasakannan, C. [Chemical Engineering Department, The Petroleum Institute, P.O. Box 2533, Abu Dhabi (United Arab Emirates); and others

    2016-12-30

    Highlights: • The Ti-Coated diamond particles have been prepared using by microwave heating. • The uniform and dense coating can be produced, and the TiC species was formed. • With increases the temperature results in the thickness of coating increased. • The coating/diamond interfacial bonding strength increased with temperature increasing until 760 °C, then decreased. - Abstract: Depositing strong carbide-forming elements on diamond surface can dramatically improve the interfacial bonding strength between diamond grits and metal matrix. In the present work, investigation on the preparation of Ti-coated diamond particles by microwave heating has been conducted. The morphology, microstructure, and the chemical composition of Ti-coated diamond particles were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and energy dispersive x-ray spectrometer (EDX). The thickness of Ti coating was measured and the interfacial binding strength between Ti coating and diamond was analyzed. The results show that the surface of the diamond particles could be successfully coated with Ti, forming a uniform and continuous Ti-coated layer. The TiC was found to form between the surface of diamond particles and Ti-coated layer. The amount of TiC as well as the thickness of coating increased with increasing coating temperature, furthermore, the grain size of the coating also grew gradually. The interfacial bonding strength between coating and diamond was found to be best at the temperature of 760 °C.

  2. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  3. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  4. Al2O3 dielectric layers on H-terminated diamond: Controlling surface conductivity

    Science.gov (United States)

    Yang, Yu; Koeck, Franz A.; Dutta, Maitreya; Wang, Xingye; Chowdhury, Srabanti; Nemanich, Robert J.

    2017-10-01

    This study investigates how the surface conductivity of H-terminated diamond can be preserved and stabilized by using a dielectric layer with an in situ post-deposition treatment. Thin layers of Al2O3 were grown by plasma enhanced atomic layer deposition (PEALD) on H-terminated undoped diamond (100) surfaces. The changes of the hole accumulation layer were monitored by correlating the binding energy of the diamond C 1s core level with electrical measurements. The initial PEALD of 1 nm Al2O3 resulted in an increase of the C 1s core level binding energy consistent with a reduction of the surface hole accumulation and a reduction of the surface conductivity. A hydrogen plasma step restored the C 1s binding energy to the value of the conductive surface, and the resistance of the diamond surface was found to be within the range for surface transfer doping. Further, the PEALD growth did not appear to degrade the surface conductive layer according to the position of the C 1s core level and electrical measurements. This work provides insight into the approaches to establish and control the two-dimensional hole-accumulation layer of the H-terminated diamond and improve the stability and performance of H-terminated diamond electronic devices.

  5. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  6. Elastic nano-structure of diamond-like carbon (DLC)

    International Nuclear Information System (INIS)

    Ogiso, Hisato; Yoshida, Mikiko; Nakano, Shizuka; Yasui, Haruyuki; Awazu, Kaoru

    2006-01-01

    This research discusses the elastic nano-structure of diamond-like carbon (DLC) films. Two DLC film samples deposited by plasma based ion implantation (PBII) were prepared. The plasma generated by microwave (MW) was applied to one sample and the plasma by radio frequency (RF) to the other sample. The samples were evaluated for the elastic property image with nanometer resolution using scanning probe microscopy (SPM). The film surface deposited by RF-PBII was very flat and homogeneous in elastic property. In contrast, the film surface by MW-PBII was more uneven than that by RF-PBII and both the locally hard and the locally soft regions were found at the film surface. The size of the structure in elastic property is several tens nanometer. We conclude that the film probably contains nano-scale diamond phase

  7. Elastic nano-structure of diamond-like carbon (DLC)

    Energy Technology Data Exchange (ETDEWEB)

    Ogiso, Hisato [National Institute of Advanced Industrial Science and Technology (AIST), 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Yoshida, Mikiko [National Institute of Advanced Industrial Science and Technology (AIST), 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Nakano, Shizuka [National Institute of Advanced Industrial Science and Technology (AIST), 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Yasui, Haruyuki [Industrial Research Institute of Ishikawa (IRII), Ro-1, Tomizu-machi, Kanazawa, Ishikawa 920-0233 (Japan); Awazu, Kaoru [Industrial Research Institute of Ishikawa (IRII), Ro-1, Tomizu-machi, Kanazawa, Ishikawa 920-0233 (Japan)

    2006-01-15

    This research discusses the elastic nano-structure of diamond-like carbon (DLC) films. Two DLC film samples deposited by plasma based ion implantation (PBII) were prepared. The plasma generated by microwave (MW) was applied to one sample and the plasma by radio frequency (RF) to the other sample. The samples were evaluated for the elastic property image with nanometer resolution using scanning probe microscopy (SPM). The film surface deposited by RF-PBII was very flat and homogeneous in elastic property. In contrast, the film surface by MW-PBII was more uneven than that by RF-PBII and both the locally hard and the locally soft regions were found at the film surface. The size of the structure in elastic property is several tens nanometer. We conclude that the film probably contains nano-scale diamond phase.

  8. Coesite inclusions in diamonds of Yakutia

    Science.gov (United States)

    Bardukhinov, L. D.; Spetsius, Z. V.; Monkhorov, R. V.

    2016-10-01

    The results of the study of diamonds with inclusions of high-pressure modification of SiO2 (coesite) by Raman spectroscopy are reported. It is established that the octahedral crystal from the Zapolyarnaya pipe is characterized by the highest residual pressure (2.7 ± 0.07 GPa). An intermediate value of this parameter (2.1 ± 0.07 GPa) was obtained for a crystal of transitional habit from the Maiskaya pipe. The minimal Raman shift was registered for coesite in diamond from the Komsomol'skaya-Magnitnaya pipe and provided a calculated residual pressure of 1.8 ± 0.03 GPa. The residual pressures for crystals from the placer deposits of the Kuoika and Bol'shaya Kuonamka rivers are 2.7 ± 0.07 and 3.1 ± 0.1 GPa, respectively. Octahedral crystals were formed in the mantle at a higher pressure than rhombododecahedral diamonds.

  9. High-Density Near-Field Readout Using Diamond Solid Immersion Lens

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Kondo, Takao; Nakaoki, Ariyoshi; Furuki, Motohiro; Takeda, Minoru; Yamamoto, Masanobu; Schaich, Thomas J.; van Oerle, Bart M.; Godfried, Herman P.; Kriele, Paul A. C.; Houwman, Evert P.; Nelissen, Wim H. M.; Pels, Gert J.; Spaaij, Paul G. M.

    2006-02-01

    We investigated high-density near-field readout using a diamond solid immersion lens (SIL). A synthetic single-crystal chemical vapor deposition diamond provides a high refractive index and a high transmission for a wide wavelength range. Since the refractive index at a wavelength of 405 nm is 2.458, we could design a solid immersion lens with an effective numerical aperture of 2.34. Using the diamond SIL, we observed the eye pattern of a 150-GB-capacity (104.3 Gbit/in.2) disk with a track pitch of 130 nm and a bit length of 47.6 nm.

  10. Large-acceptance diamond planar refractive lenses manufactured by laser cutting.

    Science.gov (United States)

    Polikarpov, Maxim; Snigireva, Irina; Morse, John; Yunkin, Vyacheslav; Kuznetsov, Sergey; Snigirev, Anatoly

    2015-01-01

    For the first time, single-crystal diamond planar refractive lenses have been fabricated by laser micromachining in 300 µm-thick diamond plates which were grown by chemical vapour deposition. Linear lenses with apertures up to 1 mm and parabola apex radii up to 500 µm were manufactured and tested at the ESRF ID06 beamline. The large acceptance of these lenses allows them to be used as beam-conditioning elements. Owing to the unsurpassed thermal properties of single-crystal diamond, these lenses should be suitable to withstand the extreme flux densities expected at the planned fourth-generation X-ray sources.

  11. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  12. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  13. Effect of tetramethylsilane flow on the deposition and tribological behaviors of silicon doped diamond-like carbon rubbed against poly(oxymethylene)

    Science.gov (United States)

    Deng, Xingrui; Lim, Yankuang; Kousaka, Hiroyuki; Tokoroyama, Takayuki; Umehara, Noritsugu

    2014-11-01

    In this study, silicon doped diamond-like carbon (Si-DLC) was deposited on stainless steel (JIS SUS304) by using surface wave-excited plasma (SWP). The effects of tetramethylsilane (TMS) flow on the composition, topography, mechanical properties and tribological behavior were investigated. Pin-on-disc tribo-meter was used to investigate the tribological behavior of the Si-DLC coating rubbed against poly(oxymethylene) (POM). The results show that the deposition rate, roughness of Si-DLC increased and the hardness of Si-DLC decreased with the increase of TMS flow rate from 2 to 4 sccm; the roughness increase therein led to the increase of ploughing term of friction. The increase of adhesion term was also seen with the increase of TMS flow rate, being attributed to the decrease of hydrogen concentration in the coating. It was considered that more POM transferred onto the Si-DLC deposited at higher TMS flow rate due to larger heat generation by friction.

  14. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  15. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  16. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  17. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  18. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  19. Investigation of the noble metal deposition behaviour in boiling water reactors - the NORA project

    International Nuclear Information System (INIS)

    Ritter, S.; Karastoyanov, V.; Abolhassani-Dadras, S.; Guenther-Leopold, I.; Kivel, N.

    2010-01-01

    NobleChem™ is a technology developed by General Electric to reduce stress corrosion cracking (SCC) in reactor internals and recirculation pipes of boiling water reactors (BWRs) while preventing the negative side effects of classical hydrogen water chemistry. Noble metals (Pt, Rh) acting as electrocatalysts for the recombination of O 2 and H 2 O 2 with H 2 to H 2 O and thus reducing the corrosion potential more efficiently are injected into the feed water during reactor shut-down (classical method) or on-line during power operation. They are claimed to deposit as very fine metallic particles on all water-wetted surfaces including the most critical regions inside existing cracks and to stay electrocatalytic over long periods of time. The effectiveness of this technology in plants remains still to be demonstrated. Based on highly credible laboratory experiments down to the sub-ppb Pt concentration range, SCC mitigation may be expected, provided that a stoichiometric excess of H 2 and a sufficient surface coverage with very fine Pt particles exist simultaneously at the critical locations. Very little is known about the deposition and (re-)distribution behaviour of the Pt in the reactor. For the validation of this technique the research project NORA (noble metal deposition behaviour in BWRs) has been started at PSI with two main objectives: (i) to gain phenomenological insights and a better basic understanding of the Pt distribution and deposition behaviour in BWRs; (ii) to develop and qualify a non-destructive technique to characterise the size and distribution of the Pt particles and its local concentration on reactor components. This paper presents the objectives of the project, the planned work and a brief description of the status of the project. (author)

  20. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  1. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Energy Technology Data Exchange (ETDEWEB)

    Miksovsky, J. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Voss, A. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Kozarova, R. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kocourek, T.; Pisarik, P. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Ceccone, G. [Unit Nanobiosciences, European Commission Joint Research Centre, Ispra (Italy); Kulisch, W. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Jelinek, M. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Apostolova, M.D. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Reithmaier, J.P. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Popov, C., E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany)

    2014-04-01

    Graphical abstract: - Highlights: • UNCD and DLC films were modified by UV/O{sub 3} treatments, O{sub 2} or NH{sub 3}-containing plasmas. • Surface composition, wettability and surface energy change upon modifications. • Higher efficiency of UNCD modifications was observed. • Cell attachment and growth were influenced by the surface termination and roughness. - Abstract: Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O{sub 2} or NH{sub 3}/N{sub 2} plasmas and UV/O{sub 3} treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  2. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  3. Diamond-based electrodes for organic photovoltaic devices

    Czech Academy of Sciences Publication Activity Database

    Kovalenko, Alexander; Ashcheulov, Petr; Guerrero, A.; Heinrichová, P.; Fekete, Ladislav; Vala, M.; Weiter, M.; Kratochvílová, Irena; Garcia-Belmonte, G.

    2015-01-01

    Roč. 134, Mar (2015), s. 73-79 ISSN 0927-0248 R&D Projects: GA TA ČR TA04020156 Institutional support: RVO:68378271 Keywords : organic photovoltaic s * boron doped diamond * chemical vapor deposition Subject RIV: JI - Composite Materials Impact factor: 4.732, year: 2015

  4. Minimization of radioactive material deposition in water-cooled nuclear reactors

    International Nuclear Information System (INIS)

    Ruiz, C.P.; Blaies, D.M.

    1988-01-01

    This patent describes the method for inhibiting the deposition of radioactive cobalt in a water-bearing vessel of a water-cooled nuclear reactor which comprises adding zinc ion to water entering the water-bearing vessel. The improvement contains a substantially lower proportion of the /sup 64/Zn isotope than naturally occurring zinc

  5. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  6. Laser Raman microprobe spectroscopy as a diagnostic for the characterisation of diamond and diamond like carbon (DLC) thin films

    International Nuclear Information System (INIS)

    Johnston, C.

    1990-10-01

    Invariably when manufacturing an artificial diamond film a mixture of carbon is deposited - tetragonally bonded (diamond), trigonally bonded (graphite) and other allotropic crystalline forms and amorphous carbons. This imposes a need for careful analysis to determine exactly what carbon types constitute the films. Raman spectroscopy is particularly sensitive to crystal and atomic structure and has a number of advantages which make it one of the most useful techniques for interrogating diamond and DLC thin films. Although Raman spectroscopy alone cannot fully characterise the film, it can give more information than simply what particular form of carbon or other impurities are present in the film. It can be used to determine the ratio of sp 2 to sp 3 bonding within the film, and to some extent the crystallite or domain size and the internal stress of the film. The use of laser Raman microprobe spectroscopy as a diagnostic tool in the analysis of diamond and DLC thin films is demonstrated for a variety of carbon films on various substrates and the characterisation of these films is discussed. (author)

  7. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  8. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH)2 dendritic architectures

    International Nuclear Information System (INIS)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo

    2012-01-01

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH) 2 dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH) 2 nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  9. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  10. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  11. Effect of substrates on tribological properties of diamond-like carbon coating

    Directory of Open Access Journals (Sweden)

    Renhui ZHANG

    2017-06-01

    Full Text Available In order to well investigate the effect of different substrates on the friction and wear of diamond-like carbon (DLC coating, the DLC coatings are deposited on substrates like the high-speed steel (HSS, SiC and 304 stainless steel by using plasma enhanced chemical vapor deposition method. The diamond-like carbon is prepared. The microstructure of the coatings is characterized using SEM, TEM and Raman. The SEM results exhibit that the total thickness of the coatings is about 6.5 μm, and there's apparent interfaces between layers. The TEM results imply that the coatings have an amorphous structure. Raman spectrum exhibits that G and D peaks are observed, which implies that the deposition coatings are diamond-like carbon coating. The results of tribological tests show that the substrates have a significant effect on the friction and wear of the coating. For different substrates, the transfer film is found on the steel counterpart surface, the wear track of the HSS has a lowest width, and the DLC coating that deposited on HSS exhibits the lowest wear and low friction coefficient (about 0.1.The microstructure of different substrates wear track surfaces is analyzed by using Raman spectrum, and the lowest wear of the HSS is attributed to the lower degree of the graphitization. The research provides reference for preparing the DLC coating with excellent tribological properties.

  12. Boron-doped diamond electrode: synthesis, characterization, functionalization and analytical applications.

    Science.gov (United States)

    Luong, John H T; Male, Keith B; Glennon, Jeremy D

    2009-10-01

    In recent years, conductive diamond electrodes for electrochemical applications have been a major focus of research and development. The impetus behind such endeavors could be attributed to their wide potential window, low background current, chemical inertness, and mechanical durability. Several analytes can be oxidized by conducting diamond compared to other carbon-based materials before the breakdown of water in aqueous electrolytes. This is important for detecting and/or identifying species in solution since oxygen and hydrogen evolution do not interfere with the analysis. Thus, conductive diamond electrodes take electrochemical detection into new areas and extend their usefulness to analytes which are not feasible with conventional electrode materials. Different types of diamond electrodes, polycrystalline, microcrystalline, nanocrystalline and ultrananocrystalline, have been synthesized and characterized. Of particular interest is the synthesis of boron-doped diamond (BDD) films by chemical vapor deposition on various substrates. In the tetrahedral diamond lattice, each carbon atom is covalently bonded to its neighbors forming an extremely robust crystalline structure. Some carbon atoms in the lattice are substituted with boron to provide electrical conductivity. Modification strategies of doped diamond electrodes with metallic nanoparticles and/or electropolymerized films are of importance to impart novel characteristics or to improve the performance of diamond electrodes. Biofunctionalization of diamond films is also feasible to foster several useful bioanalytical applications. A plethora of opportunities for nanoscale analytical devices based on conducting diamond is anticipated in the very near future.

  13. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  14. Spallation Neutron Source SNS Diamond Stripper Foil Development

    International Nuclear Information System (INIS)

    Shaw, Robert W.; Plum, Michael A.; Wilson, Leslie L.; Feigerle, Charles S.; Borden, Michael J.; Irie, Y.; Sugai, I.; Takagi, A.

    2007-01-01

    Diamond stripping foils are under development for the SNS. Freestanding, flat 300 to 500 (micro)g/cm 2 foils as large as 17 x 25 mm 2 have been prepared. These nano-textured polycrystalline foils are grown by microwave plasma-assisted chemical vapor deposition in a corrugated format to maintain their flatness. They are mechanically supported on a single edge by a residual portion of their silicon growth substrate; fine foil supporting wires are not required for diamond foils. Six foils were mounted on the SNS foil changer in early 2006 and have performed well in commissioning experiments at reduced operating power. A diamond foil was used during a recent experiment where 15 (micro)C of protons, approximately 64% of the design value, were stored in the ring. A few diamond foils have been tested at LANSCE/PSR, where one foil was in service for a period of five months (820 C of integrated injected charge) before it was replaced. Diamond foils have also been tested in Japan at KEK (640 keV H - ) where their lifetimes slightly surpassed those of evaporated carbon foils, but fell short of those for Sugai's new hybrid boron carbon (HBC) foils.

  15. Modification of rubber surface with hydrogenated diamond-like carbon thin films

    NARCIS (Netherlands)

    Pei, Y. T.; Bui, X. L.; De Hosson, J. Th. M.; Laudon, M; Romanowicz, B

    2009-01-01

    Thin films of hydrogenated diamond-like carbon (DLC) have been deposited on hydrogenated nitrile butadiene rubber (HNBR) for reduction of friction and enhancement of wear resistance of dynamic rubber seals, by sputtering graphite targets in C(2)H(2)/Ar plasma. The wax removal and pre-deposition

  16. n-type diamond growth by phosphorus doping on (0 0 1)-oriented surface

    International Nuclear Information System (INIS)

    Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Okushi, Hideyo

    2007-01-01

    The properties of phosphorus incorporation for n-type doping of diamond are discussed and summarized. Doping of (0 0 1)-oriented diamond is introduced and compared with results achieved on (1 1 1) diamond. This review describes detailed procedures and conditions of plasma-enhanced chemical vapour deposition (CVD) growth and characteristics of electrical properties of phosphorus-doped diamond. The phosphorus incorporation was characterized by SIMS analysis including mapping. n-type conductivity is evaluated by Hall-effect measurements over a temperature regime of 300-1000 K. The crystal perfection of (0 0 1)-oriented n-type diamond is also evaluated by x-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction and cathodoluminescence analyses. The results show that phosphorus atoms are incorporated into the diamond network during (0 0 1) CVD diamond growth and that phosphorus acts as a donor as in (1 1 1)-oriented diamond. This result eliminates the restriction on substrate orientation, which had previously created a bottleneck in the development of diamond electronic devices. (review article)

  17. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  18. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  19. Nickel/Diamond Composite Coating Prepared by High Speed Electrodeposition

    Directory of Open Access Journals (Sweden)

    ZHANG Yan

    2016-10-01

    Full Text Available Nickel/diamond composite coatings were prepared on the basis of a new high speed electroplating bath. The influence of additives, plating parameters and diamond concentration on internal stress was investigated in order to find the solution to decrease the stress introduced by high current density; the micro morphology of the coatings were observed by SEM. The bath and depositing parameters were optimized that thick nickel/diamond composite coatings with low internal stress can be high speed electroplated with a high cathode current density of 30A/dm2. The results show that when plated with bath composition and parameters as follows: sodium dodecyl sulfate 0.5g/L, ammonium acetate 3g/L, sodium citrate 1.5g/L, diamond particles 30g/L; pH value 3-4, temperature 50℃, the composite coatings prepared in high speed have the lowest internal stress.

  20. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  1. Spatial distributions of H, CN, and C2 in a diamond growing oxyacetylene flame

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements are applied to the chemical vapor deposition (CVD) of diamond by an oxyacetylene flame to visualize the distributions of atomic hydrogen, C2, and CN in the gas phase during diamond growth. Experiments are carried out in laminar flames

  2. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  3. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  4. Measurement of barrier height of Pd on diamond (100) surface by X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Li, F.N. [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China); Nation Key Laboratory of ASIC, HSRI, Shijiazhuang 050051 (China); Liu, J.W. [International Center for Young Scientists, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 3050044 (Japan); Zhang, J.W.; Wang, X.L.; Wang, W.; Liu, Z.C. [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China); Wang, H.X., E-mail: hxwangcn@mail.xjtu.edu.cn [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China)

    2016-05-01

    Highlights: • Metal-semiconductor contacts of Pd/hydrogen-terminated diamond and Pd/oxygen-terminated diamond have been investigated by XPS measurements. • The barrier height for Pd/hydrogen-terminated diamond (ohmic contact) has been measured to be −0.27 eV. • The barrier height for Pd/oxygen-terminated diamond (Schottky contact) has been measured to be 1.73 eV. - Abstract: Barrier height (Φ{sub BH}) values for Pd/hydrogen-terminated diamond (H-diamond) and Pd/oxygen-terminated diamond (O-diamond) have been investigated by X-ray photoelectron spectroscopy technique. H-diamond and O-diamond have been formed on the same diamond (100) layer grown by microwave plasma-enhanced chemical vapor deposition,on which Pd layers have been evaporated. The Φ{sub BH} values for Pd/H-diamond and Pd/O-diamond are determined to be −0.27 eV and 1.73 eV, respectively. It indicates that Pd is a suitable metal for ohmic and Schottky contacts on H-diamond and O-diamond, respectively. The experimental Φ{sub BH} values are in good agreement with the theoretical calculation results.

  5. Isotopically Enriched C-13 Diamond Anvil as a Stress Sensor in High Pressure Experiments

    Science.gov (United States)

    Vohra, Yogesh; Qiu, Wei; Kondratyev, Andreiy; Velisavljevic, Nenad; Baker, Paul

    2004-03-01

    The conventional high pressure diamond anvils were modified by growing an isotopically pure C-13 diamond layer by microwave plasma chemical vapor deposition using methane/hydrogen/oxygen chemistry. The isotopically pure C-13 nature of the culet of the diamond anvil was confirmed by the Raman spectroscopy measurements. This isotopically engineered diamond anvil was used against a natural abundance diamond anvil for high pressure experiments in a diamond anvil cell. Spatial resolved Raman spectroscopy was used to measure the stress induced shift in the C-13 layer as well as the undelying C-12 layer to ultra high pressures. The observed shift and splitiing of the diamond first order Raman spectrum was correlated with the stress distribution in the diamond anvil cell. The experimental results will be compared with the finite element modeling results using NIKE-2D software in order to create a mathematical relationship between sets of the following parameters: vertical (z axis) distance; horizontal (r axis) distance; max shear stress, and pressure. The isotopically enriched diamond anvils offer unique opportunities to measure stress distribution in the diamond anvil cell devices.

  6. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.

  7. Thin film diamond microstructure applications

    Science.gov (United States)

    Roppel, T.; Ellis, C.; Ramesham, R.; Jaworske, D.; Baginski, M. E.; Lee, S. Y.

    1991-01-01

    Selective deposition and abrasion, as well as etching in atomic oxygen or reduced-pressure air, have been used to prepare patterned polycrystalline diamond films which, on further processing by anisotropic Si etching, yield the microstructures of such devices as flow sensors and accelerometers. Both types of sensor have been experimentally tested in the respective functions of hot-wire anemometer and both single- and double-hinged accelerometer.

  8. Fabrication of planarised conductively patterned diamond for bio-applications

    Energy Technology Data Exchange (ETDEWEB)

    Tong, Wei [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Fox, Kate, E-mail: kfox@unimelb.edu.au [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Ganesan, Kumaravelu [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Turnley, Ann M. [Department of Anatomy and Neuroscience, University of Melbourne, Parkville, Victoria (Australia); Shimoni, Olga [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Tran, Phong A. [Department of Chemical and Biomolecular Engineering, University of Melbourne, Parkville, Victoria (Australia); Lohrmann, Alexander; McFarlane, Thomas; Ahnood, Arman; Garrett, David J. [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Meffin, Hamish [National Information and Communication Technology Australia, Victoria 3010 (Australia); Department of Electrical and Electronic Engineering, University of Melbourne, Victoria 3010 (Australia); O' Brien-Simpson, Neil M.; Reynolds, Eric C. [Oral Health Cooperative Research Centre, Melbourne Dental School, The University of Melbourne, 720 Swanston Street, Victoria 3010 (Australia); Prawer, Steven [School of Physics, University of Melbourne, Parkville, Victoria (Australia)

    2014-10-01

    The development of smooth, featureless surfaces for biomedical microelectronics is a challenging feat. Other than the traditional electronic materials like silicon, few microelectronic circuits can be produced with conductive features without compromising the surface topography and/or biocompatibility. Diamond is fast becoming a highly sought after biomaterial for electrical stimulation, however, its inherent surface roughness introduced by the growth process limits its applications in electronic circuitry. In this study, we introduce a fabrication method for developing conductive features in an insulating diamond substrate whilst maintaining a planar topography. Using a combination of microwave plasma enhanced chemical vapour deposition, inductively coupled plasma reactive ion etching, secondary diamond growth and silicon wet-etching, we have produced a patterned substrate in which the surface roughness at the interface between the conducting and insulating diamond is approximately 3 nm. We also show that the patterned smooth topography is capable of neuronal cell adhesion and growth whilst restricting bacterial adhesion. - Highlights: • We have fabricated a planar diamond device with conducting and insulating features. • A precise method is provided using CVD and RIE techniques to develop the substrate. • The step between conducting and insulating features is less than 3 nm. • Planar topography promotes neuronal cell adhesion and restricts bacterial adhesion. • Neuronal cells prefer conductive diamond (N-UNCD) to non-conductive diamond (UNCD)

  9. Polycrystalline Diamond Schottky Diodes and Their Applications.

    Science.gov (United States)

    Zhao, Ganming

    In this work, four-hot-filament CVD techniques for in situ boron doped diamond synthesis on silicon substrates were extensively studied. A novel tungsten filament shape and arrangement used to obtain large-area, uniform, boron doped polycrystalline diamond thin films. Both the experimental results and radiative heat transfer analysis showed that this technique improved the uniformity of the substrate temperature. XRD, Raman and SEM studies indicate that large area, uniform, high quality polycrystalline diamond films were obtained. Schottky diodes were fabricated by either sputter deposition of silver or thermal evaporation of aluminum or gold, on boron doped diamond thin films. High forward current density and a high forward-to-reverse current ratio were exhibited by silver on diamond Schottky diodes. Schottky barrier heights and the majority carrier concentrations of both aluminum and gold contacted diodes were determined from the C-V measurements. Furthermore, a novel theoretical C-V-f analysis of deep level boron doped diamond Schottky diodes was performed. The analytical results agree well with the experimental results. Compressive stress was found to have a large effect on the forward biased I-V characteristics of the diamond Schottky diodes, whereas the effect on the reverse biased characteristics was relatively small. The stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. This result shows that CVD diamond device has potential for mechanical transducer applications. The quantitative photoresponse characteristics of the diodes were studied in the spectral range of 300 -1050 nm. Semi-transparent gold contacts were used for better photoresponse. Quantum efficiency as high as 50% was obtained at 500 nm, when a reverse bias of over 1 volt was applied. The Schottky barrier heights between either gold or

  10. Applications of diamond films and related materials; Proceedings of the 1st International Conference, Auburn, AL, Aug. 17-22, 1991

    Science.gov (United States)

    Tzeng, Yonhua (Editor); Yoshikawa, Manasori (Editor); Murakawa, Masao (Editor); Feldman, Albert (Editor)

    1991-01-01

    The present conference discusses the nucleation and growth of diamond from hydrocarbons, the cutting tool performance of CVD thick-film diamond, the characterization of CVD diamond grinding powder, industrial applications of crystalline diamond-coated tools, standardized SEM tribometry of diamond-coated substrates, residual stress in CVD diamond films, the optical properties of CVD diamond films, polycrystalline diamond films for optical applications, and diamond growth on ferrous metals. Also discussed are ion beam-irradiation smoothing of diamond films, electronic circuits on diamond substrates, diamond-laminated surfaces for evaporative spray cooling, electron devices based on the unique properties of diamond, diamond cold cathodes, thin-film diamond microstructure applications, Schottky diodes from flame-grown diamond, diamond films for thermionic applications, methods of diamond nucleation and selective deposition, high-rate/large-area diamond film production, halogen-assisted diamond growth, the economics of diamond technology, and the optical and mechanical properties of diamondlike films.

  11. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  12. Alluvial Diamond Resource Potential and Production Capacity Assessment of Ghana

    Science.gov (United States)

    Chirico, Peter G.; Malpeli, Katherine C.; Anum, Solomon; Phillips, Emily C.

    2010-01-01

    In May of 2000, a meeting was convened in Kimberley, South Africa, and attended by representatives of the diamond industry and leaders of African governments to develop a certification process intended to assure that rough, exported diamonds were free of conflictual concerns. This meeting was supported later in 2000 by the United Nations in a resolution adopted by the General Assembly. By 2002, the Kimberley Process Certification Scheme (KPCS) was ratified and signed by both diamond-producing and diamond-importing countries. Over 70 countries were included as members at the end of 2007. To prevent trade in 'conflict' diamonds while protecting legitimate trade, the KPCS requires that each country set up an internal system of controls to prevent conflict diamonds from entering any imported or exported shipments of rough diamonds. Every diamond or diamond shipment must be accompanied by a Kimberley Process (KP) certificate and be contained in tamper-proof packaging. The objective of this study was to assess the alluvial diamond resource endowment and current production capacity of the alluvial diamond-mining sector in Ghana. A modified volume and grade methodology was used to estimate the remaining diamond reserves within the Birim and Bonsa diamond fields. The production capacity of the sector was estimated using a formulaic expression of the number of workers reported in the sector, their productivity, and the average grade of deposits mined. This study estimates that there are approximately 91,600,000 carats of alluvial diamonds remaining in both the Birim and Bonsa diamond fields: 89,000,000 carats in the Birim and 2,600,000 carats in the Bonsa. Production capacity is calculated to be 765,000 carats per year, based on the formula used and available data on the number of workers and worker productivity. Annual production is highly dependent on the international diamond market and prices, the numbers of seasonal workers actively mining in the sector, and

  13. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  14. Structural defects in natural plastically deformed diamonds: Evidence from EPR spectroscopy

    Science.gov (United States)

    Mineeva, R. M.; Titkov, S. V.; Speransky, A. V.

    2009-06-01

    Structural defects formed as a result of plastic deformation in natural diamond crystals have been studied by EPR spectroscopy. The spectra of brown, pink-brown, black-brown, pink-purple, and gray plastically deformed diamonds of type Ia from deposits in Yakutia and the Urals were recorded. The results of EPR spectroscopy allowed us to identify various deformation centers in the structure of natural diamonds and to show that nitrogen centers were transformed under epigenetic mechanical loading. Abundant A centers, consisting of two isomorphic nitrogen atoms located in neighboring structural sites, were destroyed as a result of this process to form a series of N1, N4, W7, M2, and M3 nitrogen centers. Such centers are characterized by an anisotropic spatial distribution and a positive charge, related to the mechanism of their formation. In addition, N2 centers (probably, deformation-produced dislocations decorated by nitrogen) were formed in all plastically deformed diamonds and W10 and W35 centers (the models have not been finally ascertained) were formed in some of them. It has been established that diamonds with various types of deformation-induced color contain characteristic associations of these deformation centers. The diversity of associations of deformation centers indicates appreciable variations in conditions of disintegration of deep-seated rocks, transfer of diamonds to the Earth’s surface, and formation of kimberlitic deposits. Depending on the conditions of mechanical loading, the diamond crystals were plastically deformed by either dislocation gliding or mechanical twinning. Characteristic features of plastic deformation by dislocation gliding are the substantial prevalence of the N2 centers over other deformation centers and the occurrence of the high-spin W10 and W35 centers. The attributes of less frequent plastic deformation by mechanical twinning are unusual localization of the M2 centers and, in some cases, the N1 centers in microtwinned

  15. Fabrication of planarised conductively patterned diamond for bio-applications.

    Science.gov (United States)

    Tong, Wei; Fox, Kate; Ganesan, Kumaravelu; Turnley, Ann M; Shimoni, Olga; Tran, Phong A; Lohrmann, Alexander; McFarlane, Thomas; Ahnood, Arman; Garrett, David J; Meffin, Hamish; O'Brien-Simpson, Neil M; Reynolds, Eric C; Prawer, Steven

    2014-10-01

    The development of smooth, featureless surfaces for biomedical microelectronics is a challenging feat. Other than the traditional electronic materials like silicon, few microelectronic circuits can be produced with conductive features without compromising the surface topography and/or biocompatibility. Diamond is fast becoming a highly sought after biomaterial for electrical stimulation, however, its inherent surface roughness introduced by the growth process limits its applications in electronic circuitry. In this study, we introduce a fabrication method for developing conductive features in an insulating diamond substrate whilst maintaining a planar topography. Using a combination of microwave plasma enhanced chemical vapour deposition, inductively coupled plasma reactive ion etching, secondary diamond growth and silicon wet-etching, we have produced a patterned substrate in which the surface roughness at the interface between the conducting and insulating diamond is approximately 3 nm. We also show that the patterned smooth topography is capable of neuronal cell adhesion and growth whilst restricting bacterial adhesion. Copyright © 2014 Elsevier B.V. All rights reserved.

  16. Finestructures study of the diamond/titanium interface by transmission electron microscopy

    International Nuclear Information System (INIS)

    Li, X.J.; Li, Y.S.; He, L.L.; Yang, Q.; Hirose, A.

    2014-01-01

    It is well known that a TiC layer can be formed and should act as a buffer layer in diamond films deposited on Ti alloy. Through our cross-sectional investigation in HRTEM, a thin layer (20–30 nm) was first identified between the outermost diamond film and the inner reactive TiC layer adjacent to the substrate. This layer consists of numerous crystalline nanoparticles with grain sizes of 5–20 nm. Through electron nanodiffraction patterns combined with EDS and EELS analysis, these nanoparticles can be identified as a TiC 1−x O x phase with a similar structure to cubic TiC. Besides, C atoms and O atoms in TiC 1−x O x randomly occupy the vacancies of C in TiC. The thickness of this TiC 1−x O x layer does not change significantly with increasing deposition time, and the diamond phase directly nucleates and grows on it. - Highlights: • The diamond/Ti6Al4V interfacial finestructures have been investigated by HRTEM. • A thin layer composed of many crystalline TiC 1−x O x nanoparticles is first identified. • The TiC 1−x O x phase has a similar structure to cubic TiC. • In TiC 1−x O x , C atoms and O atoms randomly occupy the vacancies of C in TiC. • The TiC 1−x O x layer maintains the thickness of 20–30 nm as increasing deposition time

  17. Diamond structures grown from polymer composite nanofibers

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Kromka, Alexander; Babchenko, Oleg; Rezek, Bohuslav; Martinová, L.; Pokorný, P.

    2013-01-01

    Roč. 5, č. 6 (2013), s. 519-521 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP205/12/0908 Institutional support: RVO:68378271 Keywords : chemical vapour deposition * composite polymer * nanocrystalline diamond * nanofiber sheet * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism

  18. Corrosion products, activity transport and deposition in boiling water reactor recirculation systems

    International Nuclear Information System (INIS)

    Alder, H.P.; Buckley, D.; Grauer, R.; Wiedemann, K.H.

    1989-09-01

    The deposition of activated corrosion products in the recirculation loops of Boiling Water Reactors produces increased radiation levels which lead to a corresponding increase in personnel radiation dose during shut down and maintenance. The major part of this dose rate is due to cobalt-60. The following areas are discussed in detail: - the origins of the corrosion products and of cobalt-59 in the reactor feedwaters, - the consolidation of the cobalt in the fuel pin deposits (activation), - the release and transport of cobalt-60, - the build-up of cobalt-60 in the corrosion products in the recirculation loops. Existing models of the build-up of circuit radioactivity are discussed and the operating experiences from selected reactors are summarised. Corrosion chemistry aspects of the cobalt build-up in the primary circuit have already been studied on a broad basis and are continuing to be researched in a number of centers. The crystal chemistry of chromium-nickel steel corrosion products poses a number of yet unanswered questions. There are major loopholes associated with the understanding of activation processes of cobalt deposited on the fuel pins and in the mass transfer of cobalt-60. For these processes, the most important influence stems from factors associated with colloid chemistry. Accumulation of data from different BWRs contributes little to the understanding of the activity build-up. However, there are examples that the problem of activity build-up can be kept under control. Although many details for a quantitative understanding are still missing, the most important correlations are visible. The activity build-up in the BWR recirculation systems cannot be kept low by a single measure. Rather a whole series of measures is necessary, which influences not only cobalt-60 deposition but also plant and operation costs. (author) 26 figs., 13 tabs., 90 refs

  19. TLD gamma-ray energy deposition measurements in the zero energy fast reactor ZEBRA

    International Nuclear Information System (INIS)

    Knipe, A.D.

    1977-01-01

    A recent study of gamma-ray energy deposition was carried out in the Zebra reactor at AEE Winfrith during a collaborative programme between the UKAEA and PNC of Japan. The programme was given the title MOZART. This paper describes the TLD experiments in the MOZART MZB assembly and discusses the technique and various corrections necessary to relate the measured quantity to the calculated energy deposition

  20. Application of diamond window for infrared laser diagnostics in a tokamak device

    International Nuclear Information System (INIS)

    Kawano, Yasunori; Chiba, Shinichi; Inoue, Akira

    2004-01-01

    Chemical vapor deposited diamond disks have been successfully applied as the vacuum windows for infrared CO 2 laser interferometry and polarimetry used in electron density measurement in the JT-60U tokamak. In comparison with the conventional zinc-selenide windows, the Faraday rotation component of diamond windows was negligible. This results in an improvement of the Faraday rotation measurement of tokamak plasma by polarimetry

  1. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  2. Review of the development of diamond radiation sensors

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    Diamond radiation sensors produced by chemical vapour deposition are studied for the application as tracking detectors in high luminosity experiments. Sensors with a charge collection distance up to 250 mu m have been manufactured. Their radiation hardness has been studied with pions, proton and neutrons up to fluences of 1.9*10/sup 15/ pi cm/sup -2/, 5*10/sup 19/ p cm/sup -2/ and 1.35*10/sup 15/ n cm/sup -2 /, respectively. Diamond micro-strip detectors with 50 mu m pitch have been exposed in a high-energy test beam in order to investigate their charge collection properties. The measured spatial resolution using a centre-of-gravity position finding algorithm corresponds to the digital resolution for this strip pitch. First results from a strip tracker with a 2*4 cm/sup 2/ surface area are reported as well as the performance of a diamond tracker read out by radiation-hard electronics with 25 ns shaping time. Diamond pixel sensors have been prepared to match the geometries of the recently available read-out ch...

  3. Review of the development of diamond radiation sensors

    International Nuclear Information System (INIS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1999-01-01

    Diamond radiation sensors produced by chemical vapour deposition are studied for the application as tracking detectors in high luminosity experiments. Sensors with a charge collection distance up to 250 μm have been manufactured. Their radiation hardness has been studied with pions, proton and neutrons up to fluences of 1.9x10 15 π cm -2 , 5x10 15 p cm -2 and 1.35x10 15 n cm -2 , respectively. Diamond micro-strip detectors with 50 μm pitch have been exposed in a high-energy test beam in order to investigate their charge collection properties. The measured spatial resolution using a centre-of-gravity position finding algorithm corresponds to the digital resolution for this strip pitch. First results from a strip tracker with a 2x4 cm 2 surface area are reported as well as the performance of a diamond tracker read out by radiation-hard electronics with 25 ns shaping time. Diamond pixel sensors have been prepared to match the geometries of the recently available read-out chip prototypes for ATLAS and CMS. Beam test results are shown from a diamond detector bump-bonded to an ATLAS prototype read-out. They demonstrate a 98% bump-bonding efficiency and a digital resolution in both dimensions. (author)

  4. Review of the development of diamond radiation sensors

    Science.gov (United States)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-09-01

    Diamond radiation sensors produced by chemical vapour deposition are studied for the application as tracking detectors in high luminosity experiments. Sensors with a charge collection distance up to 250 μm have been manufactured. Their radiation hardness has been studied with pions, proton and neutrons up to fluences of 1.9×10 15 π cm -2, 5×10 15 p cm -2 and 1.35×10 15 n cm -2, respectively. Diamond micro-strip detectors with 50 μm pitch have been exposed in a high-energy test beam in order to investigate their charge collection properties. The measured spatial resolution using a centre-of-gravity position finding algorithm corresponds to the digital resolution for this strip pitch. First results from a strip tracker with a 2×4 cm 2 surface area are reported as well as the performance of a diamond tracker read out by radiation-hard electronics with 25 ns shaping time. Diamond pixel sensors have been prepared to match the geometries of the recently available read-out chip prototypes for ATLAS and CMS. Beam test results are shown from a diamond detector bump-bonded to an ATLAS prototype read-out. They demonstrate a 98% bump-bonding efficiency and a digital resolution in both dimensions.

  5. Investigation of the deposit formation in pipelines connecting liquefaction reactors; 1t/d PSU ni okeru ekika hanno tokan fuchakubutsu no seisei yoin ni kansuru ichikosatsu

    Energy Technology Data Exchange (ETDEWEB)

    Okada, Y.; Nogami, Y.; Inokuchi, K. [Mitsui SRC Development Co. Ltd., Tokyo (Japan); Mochizuki, M.; Imada, K. [Nippon Steel Corp., Tokyo (Japan)

    1996-10-28

    The liquefaction reaction system of an NEDOL process coal liquefaction 1t/d PSU was opened and checked to investigate the cause of the rise of differential pressure between liquefaction reactors of the PSU. The liquefaction test at a coal concentration of 50 wt% using Tanito Harum coal was conducted, and it was found that the differential pressure between reactors was on the increase. By the two-phase flow pressure loss method, deposition thickness of deposit in pipelines was estimated at 4.4mm at the time of end operation, which agreed with a measuring value obtained from a {gamma} ray. The rise of differential pressure was caused by deposit formation in pipelines connecting reactors. The main component of the deposit is calcite (CaCO3 60-70%) and is the same as the usual one. It is also the same type as the deposit on the reactor wall. Ca in coal ash is concerned with this. To withdraw solid matters deposited in the reactor, there are installed pipelines for the withdrawal at the reactor bottom. The solid matters are regularly purged by reverse gas for prevention of clogging. As the frequency of purge increases, the deposit at the reactor bottom decreases, but the deposit attaches strongly to pipelines connecting reactors. It is presumed that this deposit is what Ca to be discharged out of the system as a form of deposition solid matter naturally in the Ca balance precipitated as calcite in the pipeline connecting the reactor. 3 refs., 5 figs., 4 tabs.

  6. The Geopolitical Setting of Conflict Diamonds.

    Science.gov (United States)

    Haggerty, S. E.

    2002-05-01

    September 11, 2001 will live in infamy. Ideological differences have also led to senseless atrocities in Angola, Congo Republic, Sierra Leone, and Liberia. Hundreds of thousands have died, scores mutilated, and millions displaced. These have gone virtually unnoticed for decades. Unnoticed that is until it became evident that these barbaric acts were fueled by the sale or bartering of diamonds for arms, or by more ingenious ways that are less traceable. There is no end in sight. Industry has long recognized that about 20% of diamonds reaching the open market are smuggled from operating mines, and more recently that an additional 4% originates from conflict diamond sources. Diamond identification by laser inscription, ion implantation, or certification protocols are subject to fraudulent tampering. And these applied methods are thwarted if cutting and polishing centers are infiltrated, or if terrorist facilities are independently established. Mark ups are substantial (40-60%) from raw material to finished product. Tracking the paths of rough stones from mines to faceted gems is impractical because some 30-50 million cts of top quality material, or about 100 million stones, would require branding each year. Moreover, the long standing tradition of site-holdings and the bourse system of mixing or matching diamonds, inadvertently ensures regional anonymity. Conflict diamonds are mined in primary kimberlites and from widely dispersed alluvial fields in tropical jungle. Landscapes, eroded by 1-5 vertical km over 100 Ma, have transformed low grade primary deposits into unconsolidated sedimentary bonanzas. The current value of stones retrieved, by motivated diggers and skillful jiggers, in rebel held territories, is impossible to determine, but in 1993 amounted to tens of millions USD. Diamonds over 100 cts continue to surface at premier prices. Borders are porous, diamonds flow easily, and armed networks are permeable and mobile. Diamonds form at great depths (over 200 km

  7. A large area diamond-based beam tagging hodoscope for ion therapy monitoring

    Science.gov (United States)

    Gallin-Martel, M.-L.; Abbassi, L.; Bes, A.; Bosson, G.; Collot, J.; Crozes, T.; Curtoni, S.; Dauvergne, D.; De Nolf, W.; Fontana, M.; Gallin-Martel, L.; Hostachy, J.-Y.; Krimmer, J.; Lacoste, A.; Marcatili, S.; Morse, J.; Motte, J.-F.; Muraz, J.-F.; Rarbi, F. E.; Rossetto, O.; Salomé, M.; Testa, É.; Vuiart, R.; Yamouni, M.

    2018-01-01

    The MoniDiam project is part of the French national collaboration CLaRyS (Contrôle en Ligne de l'hAdronthérapie par RaYonnements Secondaires) for on-line monitoring of hadron therapy. It relies on the imaging of nuclear reaction products that is related to the ion range. The goal here is to provide large area beam detectors with a high detection efficiency for carbon or proton beams giving time and position measurement at 100 MHz count rates (beam tagging hodoscope). High radiation hardness and intrinsic electronic properties make diamonds reliable and very fast detectors with a good signal to noise ratio. Commercial Chemical Vapor Deposited (CVD) poly-crystalline, heteroepitaxial and monocrystalline diamonds were studied. Their applicability as a particle detector was investigated using α and β radioactive sources, 95 MeV/u carbon ion beams at GANIL and 8.5 keV X-ray photon bunches from ESRF. This facility offers the unique capability of providing a focused ( 1 μm) beam in bunches of 100 ps duration, with an almost uniform energy deposition in the irradiated detector volume, therefore mimicking the interaction of single ions. A signal rise time resolution ranging from 20 to 90 ps rms and an energy resolution of 7 to 9% were measured using diamonds with aluminum disk shaped surface metallization. This enabled us to conclude that polycrystalline CVD diamond detectors are good candidates for our beam tagging hodoscope development. Recently, double-side stripped metallized diamonds were tested using the XBIC (X Rays Beam Induced Current) set-up of the ID21 beamline at ESRF which permits us to evaluate the capability of diamond to be used as position sensitive detector. The final detector will consist in a mosaic arrangement of double-side stripped diamond sensors read out by a dedicated fast-integrated electronics of several hundreds of channels.

  8. Radiation hardness of a single crystal CVD diamond detector for MeV energy protons

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Yuki, E-mail: y.sato@riken.jp [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Shimaoka, Takehiro; Kaneko, Junichi H. [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Murakami, Hiroyuki [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Isobe, Mitsutaka; Osakabe, Masaki [National Institute for Fusion Science, 322-6, Oroshi-cho Toki-city, Gifu 509-5292 (Japan); Tsubota, Masakatsu [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Ochiai, Kentaro [Fusion Research and Development Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Shikata, Shinichi [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2015-06-01

    We have fabricated a particle detector using single crystal diamond grown by chemical vapor deposition. The irradiation dose dependence of the output pulse height from the diamond detector was measured using 3 MeV protons. The pulse height of the output signals from the diamond detector decreases as the amount of irradiation increases at count rates of 1.6–8.9 kcps because of polarization effects inside the diamond crystal. The polarization effect can be cancelled by applying a reverse bias voltage, which restores the pulse heights. Additionally, the radiation hardness performance for MeV energy protons was compared with that of a silicon surface barrier detector.

  9. Effects of pretreatment processes on improving the formation of ultrananocrystalline diamond

    International Nuclear Information System (INIS)

    Chen, Li-Ju; Tai, Nyan-Hwa; Lee, Chi-Young; Lin, I-Nan.

    2007-01-01

    Effects of pretreatment on the nuclei formation of ultrananocrystalline diamond (UNCD) on Si substrates were studied. Either precoating a thin layer of titanium (∼400 nm) or ultrasonication pretreatment using diamond and titanium mixed powder (D and T process) enhances the nucleation process on Si substrates markedly, and the UNCD nuclei formed and fully covered the Si substrate, when deposition was processed using the microwave-plasma-enhanced chemical-vapor deposition process for 10 min. In contrast, during the same period, ultrasonication pretreatment using diamond powders (D process) can only form large UNCD clusters, which were scarcely distributed on Si substrates. The analyses using x-ray diffractometer, secondary ion mass spectroscopy, and electron spectroscopy for chemical analysis reveal that the titanium layer reacted with carbon species in the plasma, forming crystalline TiC phase, which facilitates the subsequent formation of UNCD nuclei. The beneficial effect of Ti layer on enhancing the nucleation of UNCD is presumably owing to high solubility and high diffusivity of carbon species in Ti materials, as compared with those of Si materials

  10. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH){sub 2} dendritic architectures

    Energy Technology Data Exchange (ETDEWEB)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo [Korea University, Seoul (Korea, Republic of)

    2012-03-15

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH){sub 2} dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH){sub 2} nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  11. Optical studies of high quality synthetic diamond

    International Nuclear Information System (INIS)

    Sharp, S.J.

    1999-01-01

    This thesis is concerned with the study of fundamental and defect induced optical properties of synthetic diamond grown using high pressure, high temperature (HPHT) synthesis or chemical vapour deposition (CVD). The primary technique used for investigation is cathodoluminescence (including imaging and decay-time measurements) in addition to other forms of optical spectroscopy. This thesis is timely in that the crystallinity and purity of synthetic diamond has increased ten fold over the last few years. The diamond exciton emission, which is easily quenched by the presence of defects, is studied in high quality samples in detail. In addition the ability now exists to engineer the isotopic content of synthetic diamond to a high degree of accuracy. The experimental chapters are divided as follows: Chapter 2: High resolution, low temperature spectra reveal a splitting of the free-exciton phonon recombination emission peaks and the bound-exciton zero phonon line. Included are measurements of the variation in intensity and decay-time as a function of temperature. Chapter 3: The shift in energy of the phonon-assisted free-exciton phonon replicas with isotopic content has been measured. The shift is in agreement with the results of interatomic force model for phonon scattering due to isotope disorder. Chapter 4: A study of the shift in energy with isotopic content of the diamond of the GR1 band due to the neutral vacancy has allowed a verification of the theoretical predictions due to the Jahn Teller effect. Chapter 5: The spatial distribution of the free-exciton luminescence is studied in HPHT synthetic and CVD diamond. A variation in intensity with distance from the surface is interpreted as a significant non-radiative loss of excitons to the surface. Chapter 6: The decay-times of all known self-interstitial related centres have been measured in order to calculate the concentration of these centres present in electron irradiated diamond. (author)

  12. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  13. Diamond Fuzzy Number

    Directory of Open Access Journals (Sweden)

    T. Pathinathan

    2015-01-01

    Full Text Available In this paper we define diamond fuzzy number with the help of triangular fuzzy number. We include basic arithmetic operations like addition, subtraction of diamond fuzzy numbers with examples. We define diamond fuzzy matrix with some matrix properties. We have defined Nested diamond fuzzy number and Linked diamond fuzzy number. We have further classified Right Linked Diamond Fuzzy number and Left Linked Diamond Fuzzy number. Finally we have verified the arithmetic operations for the above mentioned types of Diamond Fuzzy Numbers.

  14. Reconnaissance investigation of the rough diamond resource potential and production capacity of Côte d’Ivoire

    Science.gov (United States)

    Chirico, Peter G.; Malpeli, Katherine C.

    2013-01-01

    Ethnic and political conflict developed into open civil war in Côte d’Ivoire in 2002, leading to a de facto partitioning of the country into the government-controlled south and the rebel-controlled north. Côte d’Ivoire’s two main diamond mining areas, Séguéla and Tortiya, are located in the north, under what was, until recently, rebel-controlled territory. In an effort to prevent proceeds from diamond mining from funding the conflict, the United Nations (UN) placed an embargo on the export of rough diamonds from Côte d’Ivoire in 2005. That same year, the Kimberley Process (KP), the international initiative charged with stemming the flow of conflict diamonds, acted to enforce this ban by adopting the Moscow Resolution on Côte d’Ivoire, which contained measures to prevent the infiltration of Ivorian diamonds into the legitimate global rough diamond trade. Though under scrutiny by the international community, diamond mining activities continued in Côte d’Ivoire, with artisanal miners exploiting both alluvial deposits in fluvial systems and primary kimberlitic dike deposits. However, because of the embargo, there has been no official record of diamond production since the conflict began in 2002. This lack of production statistics represents a significant data gap and hinders efforts by the KP to understand how illicitly produced diamonds may be entering the legitimate trade. This study presents the results of a multiyear effort to monitor the diamond mining activities of Côte d’Ivoire’s two main diamond mining areas, Séguéla and Tortiya. An innovative approach was developed that integrates data acquired from archival reports and maps, high-resolution satellite imagery, and digital terrain modeling to assess the total diamond endowment of the Séguéla and Tortiya deposits and to calculate annual diamond production from 2006 to 2013. On the basis of currently available data, this study estimates that a total of 10,100,000 carats remain in S

  15. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  16. Sequential Electrodeposition of Platinum-Ruthenium at Boron-Doped Diamond Electrodes for Methanol Oxidation

    Directory of Open Access Journals (Sweden)

    Ileana González-González

    2011-01-01

    Full Text Available Sequential electrodeposition of Pt and Ru on boron-doped diamond (BDD films, in 0.5 M H2SO4 by cyclic voltammetry, has been prepared. The potential cycling, in the aqueous solutions of the respective metals, was between 0.00 and 1.00 V versus Ag/AgCl. The catalyst composites, Pt and PtRu, deposited on BDD film substrates, were tested for methanol oxidation. The modified diamond surfaces were also characterized by scanning electron microscopy-X-ray fluorescence-energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and Auger electron spectroscopy. The scanning Auger electron spectroscopy mapping showed the ruthenium signal only in areas where platinum was electrodeposited. Ruthenium does not deposit on the oxidized diamond surface of the boron-doped diamond. Particles with 5–10% of ruthenium with respect to platinum exhibited better performance for methanol oxidation in terms of methanol oxidation peak current and chronoamperometric current stability. The electrogenerated •OH radicals on BDD may interact with Pt surface, participating in the methanol oxidation as shown in oxidation current and the shift in the peak position. The conductive diamond surface is a good candidate as the support for the platinum electrocatalyst, because it ensures catalytic activity, which compares with the used carbon, and higher stability under severe anodic and cathodic conditions.

  17. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  18. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    International Nuclear Information System (INIS)

    Yater, J. E.; Shaw, J. L.; Pate, B. B.; Feygelson, T. I.

    2016-01-01

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distribution as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum electron

  19. Patterned hydrophobic and hydrophilic surfaces of ultra-smooth nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Mertens, M., E-mail: michael.mertens@uni-ulm.de [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Mohr, M.; Brühne, K.; Fecht, H.J. [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Łojkowski, M.; Święszkowski, W. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Łojkowski, W. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2016-12-30

    Highlights: • Hydrophobic and hydrophilic properties on fluorine-, hydrogen- and oxygen- terminated ultra-nanocrystalline diamond films. • Micropatterned - multi-terminated layers with both hydrophobic and hydrophilic areas on one sample. • Visualization of multi-terminated surfaces by e.g. SEM and LFM. • Roughness and friction investigations on different terminated surfaces. • Smooth and biocompatible surfaces with same roughness regardless of hydrophobicity for microbiological investigations. - Abstract: In this work, we show that ultra nanocrystalline diamond (UNCD) surfaces have been modified to add them hydrophobic and hydrophilic properties. The nanocrystalline diamond films were deposited using the hot filament chemical vapor deposition (HFCVD) technique. This allows growing diamond on different substrates which can be even 3D or structured. Silicon and, for optical applications, transparent quartz glass are the preferred substrates for UNCD layers growth. Fluorine termination leads to strong hydrophobic properties as indicated by a high contact angle for water of more than 100°. Hydrogen termination shows lesser hydrophobic behavior. Hydrophilic characteristics has been realised with oxygen termination. X-ray photoelectron spectroscopy (XPS) and energy dispersive X-ray spectroscopy (EDX) measurements confirm the oxygen and fluorine- termination on the nanocrystalline diamond surface. Further, by micropatterning using photolithography, multi-terminated layers have been created with both hydrophobic and hydrophilic areas. In addition, we have shown that retermination is achieved, and the properties of the surface have been changed from hydrophobic to hydrophilic and vice versa. Micro- roughness and stress in the grown film influences slightly the wetting angle as well. The opportunity to realize local differences in hydrophobicity on nanocrystalline diamond layers, in any size or geometry, offers interesting applications for example in

  20. Gold nanoparticle formation in diamond-like carbon using two different methods: Gold ion implantation and co-deposition of gold and carbon

    International Nuclear Information System (INIS)

    Salvadori, M. C.; Teixeira, F. S.; Araújo, W. W. R.; Sgubin, L. G.; Cattani, M.; Spirin, R. E.; Brown, I. G.

    2012-01-01

    We describe work in which gold nanoparticles were formed in diamond-like carbon (DLC), thereby generating a Au-DLC nanocomposite. A high-quality, hydrogen-free DLC thin film was formed by filtered vacuum arc plasma deposition, into which gold nanoparticles were introduced using two different methods. The first method was gold ion implantation into the DLC film at a number of decreasing ion energies, distributing the gold over a controllable depth range within the DLC. The second method was co-deposition of gold and carbon, using two separate vacuum arc plasma guns with suitably interleaved repetitive pulsing. Transmission electron microscope images show that the size of the gold nanoparticles obtained by ion implantation is 3-5 nm. For the Au-DLC composite obtained by co-deposition, there were two different nanoparticle sizes, most about 2 nm with some 6-7 nm. Raman spectroscopy indicates that the implanted sample contains a smaller fraction of sp 3 bonding for the DLC, demonstrating that some sp 3 bonds are destroyed by the gold implantation.

  1. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC

    CERN Multimedia

    Kerbikov, B; Cumalat, J P; Mandic, I; Kagan, H P; Grigoriev, E; Mikuz, M; Oh, A; Martemiyanov, A; Golubev, A; Gorisek, A; Seidel, S C; Eusebi, R

    2002-01-01

    \\\\ \\\\% RD42 \\\\ \\\\Diamond, grown in a chemical vapour deposition process, can be used as a particle detector. The RD42 collaboration investigates its application in experiments at the Large Hadron Collider for particle tracking very close to the interaction region. Diamond is known to be radiation hard, in particular to photons and electrons up to at least 100 MRad. Irradiations with pions, protons and neutrons at room temperature show that diamond can resist higher fluences than silicon devices. An irradiation with 24 GeV/c protons on diamond samples shows no degradation up to fluences of 1 x 10$^{15} \\emph{p}$/cm$^{2}$ and a decrease in signal of only 40\\% at 5 x 10$^{15} \\emph{p}$/cm$^{2}$.\\\\ \\\\The signal response to a minimum ionizing particle in the best diamond samples is 9000 electon-hole-pairs which corresponds to a charge collection distance of 250 $\\mu$m.\\\\ \\\\Diamond strip detectors with sizes from 1 x 1 cm$^{2}$ to 2 x 4 cm$^{2}$ are routinely tested in particle beams using low noise VA readout elec...

  2. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  3. Electrochemical characterization of doped diamond-coated carbon fibers at different boron concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Almeida, E.C. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil)]. E-mail: erica@las.inpe.br; Diniz, A.V. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil); Trava-Airoldi, V.J. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil); Ferreira, N.G. [CTA-Divisao de Materiais, Sao Jose dos Campos, SP 12228-904 (Brazil)

    2005-08-01

    Doped diamond films have been deposited on carbon fibers (felt) obtained from polyacrylonitrile at different levels of boron doping. For a successful coating of the fibers, an ultrasonic pretreatment in a bath of diamond powder dissolved in hexane was required. Films were grown on both sample sides, simultaneously, by hot filament-assisted chemical vapour deposition technique at 750 deg. C from a 0.5% H{sub 2}/CH{sub 4} mixture at a total pressure of 6.5 x 10{sup 3} Pa. Boron was obtained from H{sub 2} forced to pass through a bubbler containing B{sub 2}O{sub 3} dissolved in methanol. The doping level studied corresponds to films with acceptor concentrations in the range of 6.5 x 10{sup 18} to 1.5 x 10{sup 21} cm{sup -} {sup 3}, obtained from Mott-Schottky plots. Scanning electron microscopy analyses evidenced fibers totally covered with high quality polycrystalline boron-doped diamond film, also confirmed by Raman spectroscopy spectra. Diamond electrodes grown on carbon fibers demonstrated similar electrochemical behavior obtained from films on Si substrate, for ferri/ferrocyanide redox couple as a function of boron content. The boron content influences electrochemical surface area. A lower boron concentration provides a higher growth rate that results in a higher surface area.

  4. Measurement of the Fermi potential of diamond-like carbon and other materials

    International Nuclear Information System (INIS)

    Atchison, F.; Blau, B.; Daum, M.; Fierlinger, P.; Geltenbort, P.; Gupta, M.; Henneck, R.; Heule, S.; Kasprzak, M.; Knecht, A.; Kuzniak, M.; Kirch, K.; Meier, M.; Pichlmaier, A.; Reiser, R.; Theiler, B.; Zimmer, O.; Zsigmond, G.

    2007-01-01

    The Fermi potential V f of diamond-like carbon (DLC) coatings produced with laser-controlled vacuum arc deposition and that of diamond, Al, Si, Be, Cu, Fe and Ni was measured using two different methods, (i) transmission of slow neutrons through foils in a time-of-flight experiment and (ii) cold neutron reflectometry (CNR). For diamond-like carbon in transmission we obtain V f = (249 ± 14) neV. This is approximately the same as for beryllium and consistent with the theoretical expectations for the measured diamond (sp 3 ) content of 45%. For an sp 3 -content of 67%, we find V f (271 ± 13) neV from reflectometry, again in agreement with theory. These findings open new perspectives in using DLC as storage volume and neutron guide coatings for ultracold neutron sources

  5. Phenomenological effets of tantalum incorporation into diamond films: Experimental and first principle studies

    Energy Technology Data Exchange (ETDEWEB)

    Ullah, Mahtab, E-mail: mahtabullah@bzu.edu.pk [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Rana, Anwar Manzoor; Ahmad, E. [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Raza, Rizwan [Department of Physics, COMSATS Institute of Information Technology, Lahore-54000 (Pakistan); Hussain, Fayyaz [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Hussain, Akhtar; Iqbal, Muhammad [Theoretical Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)

    2016-09-01

    Graphical abstract: - Highlights: • Fabrication of tantalum incorporated diamonds films using HFCVD technique. • Decrease in resistivity by increasing tantalum content in diamond thin films. • Electronic structure calculations of tantalum incorporated diamonds films through VASP code. • A rise of bond length and bond angles by addition of tantalum in the diamond lattice. • Confirmation of decrease of resistivity by adding tantalum due to creation of impurity states in the bandgap. - Abstract: Tantalum (Ta) incorporated diamond films are synthesized on silicon substrate by chemical vapor deposition under gas mixture of CH{sub 4} + H{sub 2}. Characterizations of the resulting films indicate that morphology and resistivity of as-grown diamond films are significantly influenced by the process parameters and the amount of tantalum incorporated in the diamond films. XRD plots reveal that diamond films are composed of TaC along with diamond for higher concentration of tantalum and Ta{sub 2}C phases for lower concentration of tantalum. EDS spectra confirms the existence of tantalum in the diamond films. Resistivity measurements illustrate a sudden fall of about two orders of magnitude by the addition of tantalum in the diamond films. Band structure of Ta-incorporated diamond has been investigated based on density functional theory (DFT) using VASP code. Band structure calculations lead to the semiconducting behavior of Ta-incorporated diamond films because of the creation of defects states inside the band gap extending towards conduction band minimum. Present DFT results support experimental trend of resistivity that with the incorporation of tantalum into diamond lattice causes a decrease in the resistivity of diamond films so that tantalum-incorporated diamond films behave like a good semiconductor.

  6. Chemical vapour deposition diamond. Charge carrier movement at low temperatures and use in time-critical applications

    International Nuclear Information System (INIS)

    Jansen, Hendrik

    2013-09-01

    Diamond, a wide band gap semiconductor with exceptional electrical properties, has found its way in diverse fields of application reaching from the usage as a sensor material for beam loss monitors at particle accelerator facilities, over laser windows, to UV light sensors in space applications, e.g. for space weather forecasting. Though often used at room temperature, little is known about the charge transport in diamond towards liquid helium temperatures. In this work the method of the transient current technique is employed at temperatures between room temperature and 2 K. The temperature and electric field strength dependence of the pulse shape, the charge carrier transit time, the drift velocity, the saturation velocity, and the low-field mobility is measured in detector-grade scCVD diamond. Furthermore, the usability of diamond in time-critical applications is tested, and the main results are presented.

  7. Chemical Vapour Deposition Diamond - Charge Carrier Movement at Low Temperatures and Use in Time-Critical Applications

    CERN Document Server

    Jansen, Hendrik; Pernegger, Heinz

    Diamond, a wide band gap semiconductor with exceptional electrical properties, has found its way in diverse fields of application reaching from the usage as a sensor material for beam loss monitors at particle accelerator facilities, to laser windows, to UV light sensors in space applications, e.g. for space weather forecasting. Though often used at room temperature, little is known about the charge transport in diamond towards liquid helium temperatures. In this work the method of the transient current technique is employed at temperatures between room temperature and 2 K. The temperature and electric field strength dependence of the pulse shape, the charge carrier transit time, the drift velocity, the saturation velocity, and the low-field mobility is measured in detector-grade scCVD diamond. Furthermore, the usability of diamond in time-critical applications is tested, and the main results are presented.

  8. New route to the fabrication of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Varshney, Deepak; Morell, Gerardo; Palomino, Javier; Resto, Oscar; Gil, Jennifer; Weiner, Brad R.

    2014-01-01

    Nanocrystalline diamond (NCD) thin films offer applications in various fields, but the existing synthetic approaches are cumbersome and destructive. A major breakthrough has been achieved by our group in the direction of a non-destructive, scalable, and economic process of NCD thin-film fabrication. Here, we report a cheap precursor for the growth of nanocrystalline diamond in the form of paraffin wax. We show that NCD thin films can be fabricated on a copper support by using simple, commonplace paraffin wax under reaction conditions of Hot Filament Chemical Vapor Deposition (HFCVD). Surprisingly, even the presence of any catalyst or seeding that has been conventionally used in the state-of-the-art is not required. The structure of the obtained films was analyzed by scanning electron microscopy and transmission electron microscopy. Raman spectroscopy and electron energy-loss spectroscopy recorded at the carbon K-edge region confirm the presence of nanocrystalline diamond. The process is a significant step towards cost-effective and non-cumbersome fabrication of nanocrystalline diamond thin films for commercial production

  9. Corrosion product deposition on fuel element surfaces of a boiling water reactor

    International Nuclear Information System (INIS)

    Orlov, A.

    2011-01-01

    Over the last decade the problem of corrosion products deposition on light water reactor fuel elements has been extensively investigated in relation to the possibility of failures caused by them. The goal of the present study is to understand in a quantitative way the formation of such kind of deposits and to analytically understand the mechanism of formation and deposition with help of the quasi-steady state concentrations of a number of 3d metals in reactor water. Recent investigations on the complex corrosion product deposits on a Boiling Water Reactor (BWR) fuel cladding have shown that the observed layer locally presents unexpected magnetic properties. The buildup of magnetic corrosion product deposits (crud) on the fuel cladding of the BWR, Kernkraftwerk Leibstadt (KKL) Switzerland has hampered the Eddy-current based measurements of ZrO 2 layer thickness. The magnetic behavior of this layer and its axial variation on BWR fuel cladding is of interest with respect to non-destructive cladding characterization. Consequently, a cladding from a BWR was cut at elevations of 810 mm, where the layer was observed to be magnetic, and of 1810 mm where it was less magnetic. The samples were subsequently analyzed using electron probe microanalysis (EPMA), magnetic analysis and X-ray techniques (μXRF, μXRD and μXAFS). Both EPMA and μXRF have shown that the observed corrosion deposit layer which is situated on the Zircaloy corrosion layer consists mostly of 3-d elements’ oxides (Fe, Zn, Ni and Mn). The distribution of these elements within the investigated layer is rather complex and not homogeneous. The main components identified by 2D μXRD mapping inside the layer were hematite and spinel phases with the common formula (M x Fe y )[M (1-x) Fe (2-y) ]O 4 , where M = Zn, Ni, Mn. With μXRD it was clearly shown that the cell parameter of analyzed spinel is different from the one of the pure endmembers (ZnFe 2 O 4 , NiFe 2 O 4 and MnFe 2 O 4 ) proving the existence of

  10. A comparative machining study of diamond-coated tools made by ...

    Indian Academy of Sciences (India)

    R. Narasimhan (Krishtel eMaging) 1461 1996 Oct 15 13:05:22

    adherent diamond films on WC–CO tools by all three deposition models and has allowed completion of the ..... cesses with hard turning machining will affect future demand for PCBN (and cBN coated) tools. 6. ... Business Communication Co.

  11. Review of the development of diamond radiation sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J. E-mail: josel.hrubec@cern.ch; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-09-11

    Diamond radiation sensors produced by chemical vapour deposition are studied for the application as tracking detectors in high luminosity experiments. Sensors with a charge collection distance up to 250 {mu}m have been manufactured. Their radiation hardness has been studied with pions, proton and neutrons up to fluences of 1.9x10{sup 15} {pi} cm{sup -2}, 5x10{sup 15} p cm{sup -2} and 1.35x10{sup 15} n cm{sup -2}, respectively. Diamond micro-strip detectors with 50 {mu}m pitch have been exposed in a high-energy test beam in order to investigate their charge collection properties. The measured spatial resolution using a centre-of-gravity position finding algorithm corresponds to the digital resolution for this strip pitch. First results from a strip tracker with a 2x4 cm{sup 2} surface area are reported as well as the performance of a diamond tracker read out by radiation-hard electronics with 25 ns shaping time. Diamond pixel sensors have been prepared to match the geometries of the recently available read-out chip prototypes for ATLAS and CMS. Beam test results are shown from a diamond detector bump-bonded to an ATLAS prototype read-out. They demonstrate a 98% bump-bonding efficiency and a digital resolution in both dimensions. (author)

  12. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  13. Wear resistance of nano- and micro-crystalline diamond coatings onto WC-Co with Cr/CrN interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Polini, Riccardo [Dipartimento di Scienze e Tecnologie Chimiche, Universita di Roma Tor Vergata, Via della Ricerca Scientifica, 1, Rome, 00133 (Italy); Barletta, Massimiliano, E-mail: barletta@ing.uniroma2.i [Dipartimento di Ingegneria Meccanica, Universita di Roma Tor Vergata, Via del Politecnico, 1, Rome, 00133 (Italy); Cristofanilli, Giacomo [Dipartimento di Scienze e Tecnologie Chimiche, Universita di Roma Tor Vergata, Via della Ricerca Scientifica, 1, Rome, 00133 (Italy)

    2010-12-30

    Cr/CrN bi-layers have been used recently to promote the growth of high quality Hot Filament Chemical Vapour Deposition (HFCVD) diamond coatings onto Co-cemented tungsten carbide (WC-6 wt.%Co) substrates. In the present investigation, the influence of the crystalline size of the diamond coatings on their wear endurance is looked into. Nano- (NDC) and micro-crystalline Diamond Coatings (MDC) were deposited by HFCVD onto untreated and Fluidized Bed (FB) treated Cr/CrN interlayers. NDCs, characterized by a cauliflower-like morphology, showed improved wear resistance. However, the superimposition of NDCs onto Cr/CrN interlayers micro-corrugated by FB treatment was found to be the most promising choice, leading to the formation of highly adherent and wear resistant coatings.

  14. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  15. Spatial atomic layer deposition on flexible substrates using a modular rotating cylinder reactor

    International Nuclear Information System (INIS)

    Sharma, Kashish; Hall, Robert A.; George, Steven M.

    2015-01-01

    Spatial atomic layer deposition (ALD) is a new version of ALD based on the separation of reactant gases in space instead of time. In this paper, the authors present results for spatial ALD on flexible substrates using a modular rotating cylinder reactor. The design for this reactor is based on two concentric cylinders. The outer cylinder remains fixed and contains a series of slits. These slits can accept a wide range of modules that attach from the outside. The modules can easily move between the various slit positions and perform precursor dosing, purging, or pumping. The inner cylinder rotates with the flexible substrate and passes underneath the various spatially separated slits in the outer cylinder. Trimethyl aluminum and ozone were used to grow Al 2 O 3 ALD films at 40 °C on metallized polyethylene terephthalate (PET) substrates to characterize this spatial ALD reactor. Spectroscopic ellipsometry measurements revealed a constant Al 2 O 3 ALD growth rate of 1.03 Å/cycle with rotation speeds from 40 to 100 RPM with the outer cylinder configured for one Al 2 O 3 ALD cycle per rotation. The Al 2 O 3 ALD growth rate then decreased at higher rotation rates for reactant residence times < 5 ms. The Al 2 O 3 ALD films were also uniform to within <1% across the central portion of metallized PET substrate. Fixed deposition time experiments revealed that Al 2 O 3 ALD films could be deposited at 2.08 Å/s at higher rotation speeds of 175 RPM. Even faster deposition rates are possible by adding more modules for additional Al 2 O 3 ALD cycles for every one rotation of the inner cylinder

  16. Electrical characterization of 10B doped diamond irradiated with low thermal neutron fluence

    International Nuclear Information System (INIS)

    Reed, M.L.; Reed, M.J.; Jagannadham, K.; Verghese, K.; Bedair, S.M.; El-Masry, N.; Butler, J.E.

    2004-01-01

    A sample of 10 B isotope doped diamond was neutron irradiated to a thermal fluence of 1.3x10 19 neutron cm -2 . The diamond sample was cooled continuously during irradiation in a nuclear reactor. 7 Li is formed by nuclear transmutation reaction from 10 B. Characterization for electrical conductance in the temperature range of 160 K 10 B doped sample and the 10 B doped and irradiated sample. The unirradiated diamond sample showed p-type conductance at higher temperature (T>200 K) and p-type surface conductance at lower temperature (T 7 Li that is formed by nuclear transmutation reaction from 10 B atoms. Also, compensation of n-type carriers from 7 Li by p-type carriers from 10 B is used to interpret the conductance above 400 K. A low concentration of radiation induced defects, absence of defect complexes, and the low activation energy of n-type 7 Li are thought responsible for the observed variation of conductance in the irradiated diamond. The present results illustrate that neutron transmutation from 10 B doped diamond is a useful method to achieve n-type conductivity in diamond

  17. Calorific energy deposited by gamma radiations in a test reactor. Calorimetric measurements and calculations

    International Nuclear Information System (INIS)

    Mecheri, K.-F.

    1977-01-01

    The purpose of this work was to determine the calorific energy deposited by gamma radiations in the experimental devices irradiated in the test reactors of the Grenoble Nuclear Study Centre. A theoretical study briefly recalls to mind the various sorts of nuclear reactions that occur in a reactor, from the special angle of their ability to deposit calorific energy in the materials. A special study with the help of a graphite calorimeter made it possible to show the possible effect of the various parameters intervening in this energy absorption: the nature of the materials, their geometry, the spectrum of the incident gamma rays and the fact that the variation of this spectrum is due to the position of the measuring point with respect to the reactor core or to the presence of structures around the measuring instrument. The results of the calculations made with the help of the Mercury IV and ANISN codes are compared with those of the determinations in order to ascertain that very are adapted to the forecasts of energy deposition in the various materials. The conclusion was reached that in order to calculate with accuracy the depositifs of gamma energy in the experimental devices, it is necessary either to introduce the build-up calculation for the low energy photons, in the Mercury IV calculation code or to associate the DOT code to the ANISN calculation code [fr

  18. Soft X-ray angle-resolved photoemission spectroscopy of heavily boron-doped superconducting diamond films

    Directory of Open Access Journals (Sweden)

    T. Yokoya, T. Nakamura, T. Matushita, T. Muro, H. Okazaki, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, Y. Takano, M. Nagao, T. Takenouchi, H. Kawarada and T. Oguchi

    2006-01-01

    Full Text Available We have performed soft X-ray angle-resolved photoemission spectroscopy (SXARPES of microwave plasma-assisted chemical vapor deposition diamond films with different B concentrations in order to study the origin of the metallic behavior of superconducting diamond. SXARPES results clearly show valence band dispersions with a bandwidth of ~23 eV and with a top of the valence band at gamma point in the Brillouin zone, which are consistent with the calculated valence band dispersions of pure diamond. Boron concentration-dependent band dispersions near the Fermi level (EF exhibit a systematic shift of EF, indicating depopulation of electrons due to hole doping. These SXARPES results indicate that diamond bands retain for heavy boron doping and holes in the diamond band are responsible for the metallic states leading to superconductivity at low temperature. A high-resolution photoemission spectroscopy spectrum near EF of a heavily boron-doped diamond superconductor is also presented.

  19. The system of quantum structures coated with the diamond-like carbon for silicon solar cells

    International Nuclear Information System (INIS)

    Efimov, V.P.; Abyzov, A.S.; Luchaninov, A.A.; Omarov, A.O.; Strel'nitskij, V.E.

    2010-01-01

    The peculiarity of the process of amorphous diamond-like carbon coating deposition on the surface of Si photoelectric cell with quantum filaments, which was irradiated by the electrons and heavy multi-charge ions, have been investigated. The experimental results on the investigations of the optical characteristics of the nitrogen doped hydrogenated diamond-like carbon a-C:(H,N) coatings were presented. The parameters of the process of a-C:(H,N) coating deposition on the surfaces of disordered Si semiconductors structures were optimized for the purpose of minimizing optical reflection coefficient from the front surface of the crystal and supplying its mechanical durability.

  20. Diamond-like carbon layers grown by electrochemical method-structural study

    International Nuclear Information System (INIS)

    Kulesza, S.; Szatkowski, J.; Lulinska, E.; Kozanecki, M.

    2008-01-01

    A simple method of production of diamond-like carbon (DLC) thin films on various substrates by means of electrolysis of liquid hydrocarbons under ambient conditions is described in the paper. The amount of sp 3 -hybridized carbon clusters within deposited films is a key parameter of their structural quality, and is investigated using scanning electron microscopy (SEM), and Raman spectroscopy. Obtained results indicate that although the electrolysis generally leads to granular DLC films contaminated with graphitic inclusions, providing current density larger than 520 mA cm -2 at 1700 V, sp 3 -rich microcrystals with sharp edges can be found as well. Micro-Raman spectroscopic data strongly suggest that these microcrystals are minute diamonds, which eventually opens up a new perspective for a low-temperature synthesis of diamond-related materials

  1. Structural evolution of Ti destroyable interlayer in large-size diamond film deposition by DC arc plasma jet

    Science.gov (United States)

    Guo, Jianchao; Li, Chengming; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Hua, Chenyi; Yan, Xiongbo

    2016-05-01

    The addition of titanium (Ti) interlayer was verified to reduce the residual stress of diamond films by self-fracturing and facilitate the harvest of a crack-free free-standing diamond film prepared by direct current (DC) arc plasma jet. In this study, the evolution of the Ti interlayer between large-area diamond film and substrate was studied and modeled in detail. The evolution of the interlayer was found to be relevant to the distribution of the DC arc plasma, which can be divided into three areas (arc center, arc main, and arc edge). The formation rate of titanium carbide (TiC) in the arc main was faster than in the other two areas and resulted in the preferred generation of crack in the diamond film in the arc main during cooling. Sandwich structures were formed along with the growth of TiC until the complete transformation of the Ti interlayer. The interlayer released stress via self-fracture. Avoiding uneven fragile regions that formed locally in the interlayer and achieving cooperatively released stress are crucial for the preparation of large crack-free diamond films.

  2. Stress in tungsten carbide-diamond like carbon multilayer coatings

    NARCIS (Netherlands)

    Pujada, B.R.; Tichelaar, F.D.; Janssen, G.C.A.M.

    2007-01-01

    Tungsten carbide-diamond like carbon (WC-DLC) multilayer coatings have been prepared by sputter deposition from a tungsten-carbide target and periodic switching on and off of the reactive acetylene gas flow. The stress in the resulting WC-DLC multilayers has been studied by substrate curvature.

  3. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  4. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  5. Grating-assisted coupling to nanophotonic circuits in microcrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Patrik Rath

    2013-05-01

    Full Text Available Synthetic diamond films can be prepared on a waferscale by using chemical vapour deposition (CVD on suitable substrates such as silicon or silicon dioxide. While such films find a wealth of applications in thermal management, in X-ray and terahertz window design, and in gyrotron tubes and microwave transmission lines, their use for nanoscale optical components remains largely unexplored. Here we demonstrate that CVD diamond provides a high-quality template for realizing nanophotonic integrated optical circuits. Using efficient grating coupling devices prepared from partially etched diamond thin films, we investigate millimetre-sized optical circuits and achieve single-mode waveguiding at telecoms wavelengths. Our results pave the way towards broadband optical applications for sensing in harsh environments and visible photonic devices.

  6. Ultrananocrystalline diamond film as an optimal cell interface for biomedical applications.

    Science.gov (United States)

    Bajaj, Piyush; Akin, Demir; Gupta, Amit; Sherman, Debby; Shi, Bing; Auciello, Orlando; Bashir, Rashid

    2007-12-01

    Surfaces of materials that promote cell adhesion, proliferation, and growth are critical for new generation of implantable biomedical devices. These films should be able to coat complex geometrical shapes very conformally, with smooth surfaces to produce hermetic bioinert protective coatings, or to provide surfaces for cell grafting through appropriate functionalization. Upon performing a survey of desirable properties such as chemical inertness, low friction coefficient, high wear resistance, and a high Young's modulus, diamond films emerge as very attractive candidates for coatings for biomedical devices. A promising novel material is ultrananocrystalline diamond (UNCD) in thin film form, since UNCD possesses the desirable properties of diamond and can be deposited as a very smooth, conformal coating using chemical vapor deposition. In this paper, we compared cell adhesion, proliferation, and growth on UNCD films, silicon, and platinum films substrates using different cell lines. Our results showed that UNCD films exhibited superior characteristics including cell number, total cell area, and cell spreading. The results could be attributed to the nanostructured nature or a combination of nanostructure/surface chemistry of UNCD, which provides a high surface energy, hence promoting adhesion between the receptors on the cell surface and the UNCD films.

  7. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  8. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  9. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    Science.gov (United States)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  10. Comparison of natural and synthetic diamond X-ray detectors

    International Nuclear Information System (INIS)

    Lansley, S. P.; Betzel, G.T.; Meyer, J.; Metcalf, P.; Reinisch, L.

    2010-01-01

    Full text: Diamond detectors are particularly well suited for dosimetry applications in radiotherapy for reasons including near-tissue equivalence and high-spatial resolu tion resulting from small sensitive volumes. However, these detectors have not become commonplace due to high cost and poor availability arising from the need for high quality diamond. We have fabricated relatively cheap detectors from commercially-available synthetic diamond fabricated using chemical vapour deposition. Here, we present a comparison of one of these detectors with the only commercially-available diamond-based detector (which uses a natural diamond crystal). Parameters such as the energy dependence and linearity of charge with dose were investigated at orthovoltage energies (50-250 kY), and dose-rate dependence of charge at linear accelerator energy (6 MY). The energy dependence of a synthetic diamond detector was similar to that of the natural diamond detector, albeit with slightly less variation across the energy range. Both detectors displayed a linear response S. P. Lansley () . G. T. Betzel . J. Meyer Department of Physics and Astronomy, University of Canterbury, Christchurch, New Zealand e-mail: stuart.lansley canterbury.ac.nz S. P. Lansley The Macdiarmid Institute for Advanced Materials and Nanotechnology, University of Canterbury, Christchurch, New Zealand P. Metcalfe Centre for Medical Radiation Physics, University of Wollongong, Wollongong, Australia L. Reinisch Department of Physical and Earth Sciences, Jacksonville State University, Jacksonville, AL, USA with dose (at 100 kY) over the limited dose range used. The sensitivity of the synthetic diamond detector was 302 nC/Gy, compared to 294 nC/Gy measured for the natural diamond detector; however, this was obtained with a bias of 246.50 Y compared to a bias of 61.75 Y used for the natural diamond detector. The natural diamond detector exhibited a greater dependency on dose-rate than the syn thetic diamond detector. Overall

  11. High-Current Cold Cathode Employing Diamond and Related Materials

    Energy Technology Data Exchange (ETDEWEB)

    Hirshfield, Jay L. [Omega-P, Inc., New Haven, CT (United States)

    2014-10-22

    The essence of this project was for diamond films to be deposited on cold cathodes to improve their emission properties. Films with varying morphology, composition, and size of the crystals were deposited and the emission properties of the cathodes that utilize such films were studied. The prototype cathodes fabricated by the methods developed during Phase I were tested and evaluated in an actual high-power RF device during Phase II. These high-power tests used the novel active RF pulse compression system and the X-band magnicon test facility at US Naval Research Laboratory. In earlier tests, plasma switches were employed, while tests under this project utilized electron-beam switching. The intense electron beams required in the switches were supplied from cold cathodes embodying diamond films with varying morphology, including uncoated molybdenum cathodes in the preliminary tests. Tests with uncoated molybdenum cathodes produced compressed X-band RF pulses with a peak power of 91 MW, and a maximum power gain of 16.5:1. Tests were also carried out with switches employing diamond coated cathodes. The pulse compressor was based on use of switches employing electron beam triggering to effect mode conversion. In experimental tests, the compressor produced 165 MW in a ~ 20 ns pulse at ~18× power gain and ~ 140 MW at ~ 16× power gain in a 16 ns pulse with a ~ 7 ns flat-top. In these tests, molybdenum blade cathodes with thin diamond coatings demonstrated good reproducible emission uniformity with a 100 kV, 100 ns high voltage pulse. The new compressor does not have the limitations of earlier types of active pulse compressors and can operate at significantly higher electric fields without breakdown.

  12. Fundamental studies of the chemical vapor deposition of diamond. Final technical report, April 1, 1988--December 31, 1994

    Energy Technology Data Exchange (ETDEWEB)

    Nix, W.D.

    1995-05-01

    We submit here a final technical report for the research program entitled: Fundamental Studies of the Chemical Vapor Deposition of Diamond, DOE Grant No. DE-FG05-88ER45345-M006. This research program was initiated in 1988 under the direction of the late Professor David A. Stevenson and was renewed in 1992. Unfortunately, at the end of 1992, just as the last phase of this work was getting underway, Professor Stevenson learned that he had developed mesothelioma, a form of cancer based on asbestos. Professor Stevenson died from that disease in February of 1994. Professor William D. Nix, the Chairman of the Materials Science department at Stanford was named the Principal Investigator. Professor Nix has assembled this final technical report. Much of the work of this grant was conducted by Mr. Paul Dennig, a graduate student who will receive his Ph.D. degree from Stanford in a few months. His research findings are described in the chapters of this report and in the papers published over the past few years. The main discovery of this work was that surface topology plays a crucial role in the nucleation of diamond on silicon. Dennig and his collaborators demonstrated this by showing that diamond nucleates preferentially at the tips of asperities on a silicon surface rather than in the re-entrant comers at the base of such asperities. Some of the possible reasons for this effect are described in this report. The published papers listed on the next page of this report also describe this research. Interested persons can obtain copies of these papers from Professor Nix at Stanford. A full account of all of the research results obtained in this work is given in the regular chapters that follow this brief introduction. In addition, interested readers will want to consult Mr. Dennig`s Ph.D. dissertation when it is made available later this year.

  13. Formation of diamonds out of hydrocarbon gas in the earth's mantle

    International Nuclear Information System (INIS)

    Krason, J.; Szymanski, A.; Savkevitch, S.S.

    1991-01-01

    This paper discusses the concept of formation of polycrystalline diamonds being discussed dint he context of a very rapid, dynamic decomposition of the hydrocarbon gas, initially biogenic or thermogenic condensed in gas hydrates, naturally locked and highly compressed in the hosting rocks. Gas hydrates are of solid, ice-like composition, mostly of hydrocarbon. Gas hydrates, composed of polyhedral cages, may have two types of structural forms: the body-centered structure or Structure I (small molecules) and diamond lattice or Structure II (large molecules). The crystal structure of the gas hydrate depends on the geometry of gas molecules. The thermodynamic conditions required for stabilization and preservation of the gas hydrates can be changed. Thus, in this concept, the principal source for at least some diamond deposits can originally be highly condensed hydrocarbons. In this case, if all the above indicated thermodynamic conditions and processes are met, naturally precondensed hydrocarbons can be directly converted into polycrystalline, extremely coherent diamonds

  14. Effects of hydrogenation on thermal conductivity of ultrananocrystalline diamond/amorphous carbon composite films prepared via coaxial arc plasma deposition

    Science.gov (United States)

    Takeichi, Satoshi; Nishiyama, Takashi; Tabara, Mitsuru; Kawawaki, Shuichi; Kohno, Masamichi; Takahashi, Koji; Yoshitake, Tsuyoshi

    2018-06-01

    Ultrananocrystalline diamond (UNCD)/hydrogenated amorphous carbon (a-C:H) composite (UNCD/a-C:H) and UNCD/non-hydrogenated amorphous carbon (a-C) composite (UNCD/a-C) films were prepared via coaxial arc plasma deposition, and their thermal conductivity and interfacial conductance in grain boundaries were measured using a time-domain thermoreflectance method. The interfacial conductance was estimated to be 1,010 and 4,892 MW/(m2·K) for UNCD/a-C:H and UNCD/a-C films, respectively. The reasons for the hydrogenated film having lower interfacial conductance than the non-hydrogenated film are 1) the reduced number of carriers that contribute to heat transport and 2) the hydrogen atoms, which are preferentially located at the grain boundaries and enhance phonon scattering.

  15. Trapezoidal diffraction grating beam splitters in single crystal diamond

    Science.gov (United States)

    Kiss, Marcell; Graziosi, Teodoro; Quack, Niels

    2018-02-01

    Single Crystal Diamond has been recognized as a prime material for optical components in high power applications due to low absorption and high thermal conductivity. However, diamond microstructuring remains challenging. Here, we report on the fabrication and characterization of optical diffraction gratings exhibiting a symmetric trapezoidal profile etched into a single crystal diamond substrate. The optimized grating geometry diffracts the transmitted optical power into precisely defined proportions, performing as an effective beam splitter. We fabricate our gratings in commercially available single crystal CVD diamond plates (2.6mm x 2.6mm x 0.3mm). Using a sputter deposited hard mask and patterning by contact lithography, the diamond is etched in an inductively coupled oxygen plasma with zero platen power. The etch process effectively reveals the characteristic {111} diamond crystal planes, creating a precisely defined angled (54.7°) profile. SEM and AFM measurements of the fabricated gratings evidence the trapezoidal shape with a pitch of 3.82μm, depth of 170 nm and duty cycle of 35.5%. Optical characterization is performed in transmission using a 650nm laser source perpendicular to the sample. The recorded transmitted optical power as function of detector rotation angle shows a distribution of 21.1% in the 0th order and 23.6% in each +/-1st order (16.1% reflected, 16.6% in higher orders). To our knowledge, this is the first demonstration of diffraction gratings with trapezoidal profile in single crystal diamond. The fabrication process will enable beam splitter gratings of custom defined optical power distribution profiles, while antireflection coatings can increase the efficiency.

  16. Diamond identifaction

    International Nuclear Information System (INIS)

    1976-01-01

    X-ray topography on diamonds allows for unique identification of diamonds. The method described consists of the registration of crystal defects, inclusions etc. of a diamond, resulting in a 'finger print' of the individual jewel which can only be changed by its complete destruction

  17. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC, HL-LHC and Beyond

    CERN Document Server

    Kagan, Harris (Ohio State)

    2018-01-01

    The RD42 collaboration at CERN is leading the effort to develop radiation tolerant devices based on polycrystalline Chemical Vapor Deposition (pCVD) diamond as a material for tracking detectors operating in harsh radiation environments. Diamond has properties that make it suitable for such detector applications. During the last few years the RD42 group has succeeded in producing and characterising a number of devices to address specific issues related to their use at the LHC and HL-LHC. Herein we present the status of the RD42 project with emphasis on recent beam test results and our proposed three year research plan. In particular, we review recent results on the stability of signal size on incident particle rate in diamond detectors over a range of particle fluxes up to 20 MHz/cm2, on the radiation tolerance of CVD diamond, on the diamond work with ATLAS and CMS, on the results of 3D diamond detectors fabricated in pCVD diamond and on the work with diamond manufacturers. In addition, we present the details ...

  18. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  19. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  20. Beta Radiation Enhanced Thermionic Emission from Diamond Thin Films

    Directory of Open Access Journals (Sweden)

    Alex Croot

    2017-11-01

    Full Text Available Diamond-based thermionic emission devices could provide a means to produce clean and renewable energy through direct heat-to-electrical energy conversion. Hindering progress of the technology are the thermionic output current and threshold temperature of the emitter cathode. In this report, we study the effects on thermionic emission caused by in situ exposure of the diamond cathode to beta radiation. Nitrogen-doped diamond thin films were grown by microwave plasma chemical vapor deposition on molybdenum substrates. The hydrogen-terminated nanocrystalline diamond was studied using a vacuum diode setup with a 63Ni beta radiation source-embedded anode, which produced a 2.7-fold increase in emission current compared to a 59Ni-embedded control. The emission threshold temperature was also examined to further assess the enhancement of thermionic emission, with 63Ni lowering the threshold temperature by an average of 58 ± 11 °C compared to the 59Ni control. Various mechanisms for the enhancement are discussed, with a satisfactory explanation remaining elusive. Nevertheless, one possibility is discussed involving excitation of preexisting conduction band electrons that may skew their energy distribution toward higher energies.