WorldWideScience

Sample records for chemical vapour deposition

  1. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  2. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  3. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  4. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  5. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  6. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  7. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  8. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  9. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  10. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  11. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  12. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  13. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  14. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  15. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  16. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  17. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  18. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  19. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  20. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  1. Probing the Gas-Phase Dynamics of Graphene Chemical Vapour Deposition using in-situ UV Absorption Spectroscopy

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay; Mackenzie, David; Luo, Birong

    2017-01-01

    The processes governing multilayer nucleation in the chemical vapour deposition (CVD) of graphene are important for obtaining high-quality monolayer sheets, but remain poorly understood. Here we show that higher-order carbon species in the gas-phase play a major role in multilayer nucleation...

  2. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  3. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  4. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  5. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  6. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  7. Zinc oxide nanostructures by chemical vapour deposition as anodes for Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Laurenti, M., E-mail: marco.laurenti@iit.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Garino, N. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Porro, S.; Fontana, M. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Gerbaldi, C., E-mail: claudio.gerbaldi@polito.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy)

    2015-08-15

    Highlights: • ZnO nanostructures are grown by simple chemical vapour deposition. • Polycrystalline nanostructured porous thin film is obtained. • Film exhibits stable specific capacity (∼400 mA h g{sup −1}) after prolonged cycling. • CVD-grown ZnO nanostructures show promising prospects as Li-ion battery anode. - Abstract: ZnO nanostructures are grown by a simple chemical vapour deposition method directly on a stainless steel disc current collector and successfully tested in lithium cells. The structural/morphological characterization points out the presence of well-defined polycrystalline nanostructures having different shapes and a preferential orientation along the c-axis direction. In addition, the high active surface of the ZnO nanostructures, which accounts for a large electrode/electrolyte contact area, and the complete wetting with the electrolyte solution are considered to be responsible for the good electrical transport properties and the adequate electrochemical behaviour, as confirmed by cyclic voltammetry and galvanostatic charge/discharge cycling. Indeed, despite no binder or conducting additives are used, when galvanostatically tested in lithium cells, after an initial decay, the ZnO nanostructures can provide a rather stable specific capacity approaching 70 μA h cm{sup −2} (i.e., around 400 mA h g{sup −1}) after prolonged cycling at 1 C, with very high Coulombic efficiency and an overall capacity retention exceeding 62%.

  8. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  9. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  10. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  11. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  12. Long distance spin communication in chemical vapour deposited graphene

    Science.gov (United States)

    Kamalakar, M. Venkata; Groenveld, Christiaan; Dankert, André; Dash, Saroj P.

    2015-04-01

    Graphene is an ideal medium for long-distance spin communication in future spintronic technologies. So far, the prospect is limited by the smaller sizes of exfoliated graphene flakes and lower spin transport properties of large-area chemical vapour-deposited (CVD) graphene. Here we demonstrate a high spintronic performance in CVD graphene on SiO2/Si substrate at room temperature. We show pure spin transport and precession over long channel lengths extending up to 16 μm with a spin lifetime of 1.2 ns and a spin diffusion length ~6 μm at room temperature. These spin parameters are up to six times higher than previous reports and highest at room temperature for any form of pristine graphene on industrial standard SiO2/Si substrates. Our detailed investigation reinforces the observed performance in CVD graphene over wafer scale and opens up new prospects for the development of lateral spin-based memory and logic applications.

  13. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  14. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  15. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  16. Chemical vapour deposition of freestanding sub-60 nm graphene gyroids

    Science.gov (United States)

    Cebo, Tomasz; Aria, Adrianus I.; Dolan, James A.; Weatherup, Robert S.; Nakanishi, Kenichi; Kidambi, Piran R.; Divitini, Giorgio; Ducati, Caterina; Steiner, Ullrich; Hofmann, Stephan

    2017-12-01

    The direct chemical vapour deposition of freestanding graphene gyroids with controlled sub-60 nm unit cell sizes is demonstrated. Three-dimensional (3D) nickel templates were fabricated through electrodeposition into a selectively voided triblock terpolymer. The high temperature instability of sub-micron unit cell structures was effectively addressed through the early introduction of the carbon precursor, which stabilizes the metallized gyroidal templates. The as-grown graphene gyroids are self-supporting and can be transferred onto a variety of substrates. Furthermore, they represent the smallest free standing periodic graphene 3D structures yet produced with a pore size of tens of nm, as analysed by electron microscopy and optical spectroscopy. We discuss generality of our methodology for the synthesis of other types of nanoscale, 3D graphene assemblies, and the transferability of this approach to other 2D materials.

  17. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  18. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  19. Chemical vapour deposition of silicon under reduced pressure in a hot-wall reactor: Equilibrium and kinetics

    International Nuclear Information System (INIS)

    Langlais, F.; Hottier, F.; Cadoret, R.

    1982-01-01

    Silicon chemical vapour deposition (SiH 2 Cl 2 /H 2 system), under reduced pressure conditions, in a hot-wall reactor, is presented. The vapour phase composition is assessed by evaluating two distinct equilibria. The homogeneous equilibrium , which assumes that the vapour phase is not in equilibrium with solid silicon, is thought to give an adequate description of the vapour phase in the case of low pressure, high gas velocities, good temperature homogeneity conditions. A comparison with heterogeneous equilibrium enables us to calculate the supersaturation so evidencing a highly irreversible growth system. The experimental determination of the growth rates reveals two distinct temperature ranges: below 1000 0 C, polycrystalline films are usually obtained with a thermally activated growth rate (+40 kcal mole -1 ) and a reaction order, with respect to the predominant species SiCl 2 , close to one; above 1000 0 C, the films are always monocrystalline and their growth rate exhibits a much lower or even negative activation energy, the reaction order in SiCl 2 remaining about one. (orig.)

  20. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  1. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  2. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  3. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  4. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  5. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  6. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  7. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  8. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  9. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  10. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  11. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  12. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  13. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  14. Nanoparticulate cerium dioxide and cerium dioxide-titanium dioxide composite thin films on glass by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Qureshi, Uzma; Dunnill, Charles W.; Parkin, Ivan P.

    2009-01-01

    Two series of composite thin films were deposited on glass by aerosol assisted chemical vapour deposition (AACVD)-nanoparticulate cerium dioxide and nanoparticulate cerium dioxide embedded in a titanium dioxide matrix. The films were analysed by a range of techniques including UV-visible absorption spectroscopy, X-ray diffraction, scanning electron microscopy and energy dispersive analysis by X-rays. The AACVD prepared films showed the functional properties of photocatalysis and super-hydrophilicity. The CeO 2 nanoparticle thin films displaying photocatalysis and photo-induced hydrophilicity almost comparable to that of anatase titania.

  15. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  16. The thermodynamic approach to boron chemical vapour deposition based on a computer minimization of the total Gibbs free energy

    International Nuclear Information System (INIS)

    Naslain, R.; Thebault, J.; Hagenmuller, P.; Bernard, C.

    1979-01-01

    A thermodynamic approach based on the minimization of the total Gibbs free energy of the system is used to study the chemical vapour deposition (CVD) of boron from BCl 3 -H 2 or BBr 3 -H 2 mixtures on various types of substrates (at 1000 < T< 1900 K and 1 atm). In this approach it is assumed that states close to equilibrium are reached in the boron CVD apparatus. (Auth.)

  17. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  18. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  19. Atmospheric pressure chemical vapour deposition of vanadium arsenide thin films via the reaction of VCl4 or VOCl3 with tBuAsH2

    International Nuclear Information System (INIS)

    Thomas, Tegan; Blackman, Christopher S.; Parkin, Ivan P.; Carmalt, Claire J.

    2013-01-01

    Thin films of vanadium arsenide were deposited via the dual-source atmospheric pressure chemical vapour deposition reactions of VCl 4 or VOCl 3 with t BuAsH 2 . Using the vanadium precursor VCl 4 , films were deposited at substrate temperatures of 550–600 °C, which were black-gold in appearance and were found to be metal-rich with high levels of chlorine incorporation. The use of VOCl 3 as the vanadium source resulted in films being deposited between 450 and 600 °C and, unlike when using VCl 4 , were silver in appearance. The films deposited using VOCl 3 demonstrated vanadium to arsenic ratios close to 1:1, and negligible chlorine incorporation. Films deposited using either vanadium precursor were identified as VAs using powder X-ray diffraction and possessed borderline metallic/semiconductor resistivities. - Highlights: • Formation of VAs films via atmospheric pressure chemical vapour deposition. • Films formed using VCl 4 or VOCl 3 and t BuAsH 2 . • Powder X-ray diffraction showed that crystalline VAs films were deposited. • Films from VOCl 3 had a V:As ratio close to 1 with negligible Cl incorporation. • Films were silver and possessed borderline metallic/semiconductor resistivities

  20. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  1. Direct fabrication of a W-C SNS Josephson junction using focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Dai, Jun; Kometani, Reo; Ishihara, Sunao; Warisawa, Shin’ichi; Onomitsu, Koji; Krockenberger, Yoshiharu; Yamaguchi, Hiroshi

    2014-01-01

    A tungsten-carbide (W-C) superconductor/normal metal/superconductor (SNS) Josephson junction has been fabricated using focused-ion-beam chemical vapour deposition (FIB-CVD). Under certain process conditions, the component ratio has been tuned from W: C: Ga = 26%: 66%: 8% in the superconducting wires to W: C: Ga = 14%: 79%: 7% in the metallic junction. The critical current density at 2.5 K in the SNS Josephson junction is 1/3 of that in W-C superconducting nanowire. Also, a Fraunhofer-like oscillation of critical current in the junction with four periods is observed. FIB-CVD opens avenues for novel functional superconducting nanodevices. (paper)

  2. Capacitive behavior of Ag doped V2O5 grown by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Vernardou, D.; Marathianou, I.; Katsarakis, N.; Koudoumas, E.; Kazadojev, I.I.; O’Brien, S.; Pemble, M.E.; Povey, I.M.

    2016-01-01

    The growth of silver doped vanadium pentoxide was performed by aerosol assisted chemical vapour deposition and found to be optimal at 450° C. Additionally, an increase in crystallinity and a change in preferred orientation of V 2 O 5 was observed upon increasing the silver content. Silver incorporation also resulted in morphological changes in the thin films from rod to pellet-like structures. For higher silver content films the amount of incorporated charge increased and reversibility and repeatability was demonstrated for 500 cycles. Electrochemical impedance spectroscopy determined that the transfer and diffusion of Li+ ions through the cathode-electrolyte interface was assisted by silver loading, hence, enhancing the capacitive performance.

  3. Expanding Thermal Plasma Chemical Vapour Deposition of ZnO:Al Layers for CIGS Solar Cells

    Directory of Open Access Journals (Sweden)

    K. Sharma

    2014-01-01

    Full Text Available Aluminium-doped zinc oxide (ZnO:Al grown by expanding thermal plasma chemical vapour deposition (ETP-CVD has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing ZnO:Al on CIGS solar cell stacks, one should be aware that high substrate temperature processing (i.e., >200°C can damage the crucial underlying layers/interfaces (such as CIGS/CdS and CdS/i-ZnO. In this paper, the potential of adopting ETP-CVD ZnO:Al in CIGS solar cells is assessed: the effect of substrate temperature during film deposition on both the electrical properties of the ZnO:Al and the eventual performance of the CIGS solar cells was investigated. For ZnO:Al films grown using the high thermal budget (HTB condition, lower resistivities, ρ, were achievable (~5 × 10−4 Ω·cm than those grown using the low thermal budget (LTB conditions (~2 × 10−3 Ω·cm, whereas higher CIGS conversion efficiencies were obtained for the LTB condition (up to 10.9% than for the HTB condition (up to 9.0%. Whereas such temperature-dependence of CIGS device parameters has previously been linked with chemical migration between individual layers, we demonstrate that in this case it is primarily attributed to the prevalence of shunt currents.

  4. Translation Effects in Fluorine Doped Tin Oxide Thin Film Properties by Atmospheric Pressure Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Mohammad Afzaal

    2016-10-01

    Full Text Available In this work, the impact of translation rates in fluorine doped tin oxide (FTO thin films using atmospheric pressure chemical vapour deposition (APCVD were studied. We demonstrated that by adjusting the translation speeds of the susceptor, the growth rates of the FTO films varied and hence many of the film properties were modified. X-ray powder diffraction showed an increased preferred orientation along the (200 plane at higher translation rates, although with no actual change in the particle sizes. A reduction in dopant level resulted in decreased particle sizes and a much greater degree of (200 preferred orientation. For low dopant concentration levels, atomic force microscope (AFM studies showed a reduction in roughness (and lower optical haze with increased translation rate and decreased growth rates. Electrical measurements concluded that the resistivity, carrier concentration, and mobility of films were dependent on the level of fluorine dopant, the translation rate and hence the growth rates of the deposited films.

  5. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  6. Photoluminescence study of novel phosphorus-doped ZnO nanotetrapods synthesized by chemical vapour deposition

    International Nuclear Information System (INIS)

    Yu Dongqi; Hu Lizhong; Qiao Shuangshuang; Zhang Heqiu; Fu Qiang; Chen Xi; Sun Kaitong; Len, Song-En Andy; Len, L K

    2009-01-01

    Novel phosphorus-doped and undoped single crystal ZnO nanotetrapods were fabricated on sapphire by a simple chemical vapour deposition method, using phosphorus pentoxide (P 2 O 5 ) as the dopant source. The optical properties of the samples were investigated by photoluminescence (PL) spectroscopy. Low-temperature PL measurements of phosphorus-doped and undoped samples were compared, and the results indicated a decrease in deep level defects due to the incorporation of a phosphorus acceptor dopant. The PL spectrum of the phosphorus-doped sample at 10 K exhibited several acceptor-bound exciton related emission peaks. The effect of phosphorus doping on the optical characteristics of the samples was investigated by excitation intensity and temperature dependent PL spectra. The acceptor-binding energies of the phosphorus dopant were estimated to be about 120 meV, in good agreement with the corresponding theoretical and experimental values in phosphorus-doped ZnO films and nanowires.

  7. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  8. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  9. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Autonomous Chemical Vapour Detection by Micro UAV

    Directory of Open Access Journals (Sweden)

    Kent Rosser

    2015-12-01

    Full Text Available The ability to remotely detect and map chemical vapour clouds in open air environments is a topic of significant interest to both defence and civilian communities. In this study, we integrate a prototype miniature colorimetric chemical sensor developed for methyl salicylate (MeS, as a model chemical vapour, into a micro unmanned aerial vehicle (UAV, and perform flights through a raised MeS vapour cloud. Our results show that that the system is capable of detecting MeS vapours at low ppm concentration in real-time flight and rapidly sending this information to users by on-board telemetry. Further, the results also indicate that the sensor is capable of distinguishing “clean” air from “dirty”, multiple times per flight, allowing us to look towards autonomous cloud mapping and source localization applications. Further development will focus on a broader range of integrated sensors, increased autonomy of detection and improved engineering of the system.

  11. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  12. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  13. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  14. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  15. Fe3−δO4/MgO/Co magnetic tunnel junctions synthesized by full in situ atomic layer and chemical vapour deposition

    International Nuclear Information System (INIS)

    Mantovan, R; Vangelista, S; Kutrzeba-Kotowska, B; Lamperti, A; Fanciulli, M; Manca, N; Pellegrino, L

    2014-01-01

    Fe 3−δ O 4 /MgO/Co magnetic tunnel junctions (MTJs) are synthesized on top of ∼1 inch Si/SiO 2 substrates by conducting a full in situ chemical vapour and atomic layer deposition process with no vacuum break. Tunnel magnetoresistance up to 6% is measured at room temperature, increasing to 12.5% at 120 K. Our results demonstrate the possibility of using full-chemical processes to synthesize functional MTJs, and this could provide a path towards the use of cost-effective methods to produce magnetic devices on a large scale. (fast track communication)

  16. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  17. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    Science.gov (United States)

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A.; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  18. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  19. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  20. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  1. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  2. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  3. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  4. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Plate, Paul, E-mail: paul.plate@helmholtz-berlin.de; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Bartsch, Peter [Beuth Hochschule für Technik Berlin, Fachbereich VIII Maschinenbau, Veranstaltungstechnik, Verfahrenstechnik (Germany); Fiechter, Sebastian; Lux-Steiner, Martha Ch. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Fischer, Christian-Herbert [Freie Universität Berlin, Institute of Chemistry and Biochemistry (Germany)

    2017-04-15

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  5. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    International Nuclear Information System (INIS)

    Liu, Yang; Plate, Paul; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina; Bartsch, Peter; Fiechter, Sebastian; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2017-01-01

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  6. Synthesis of suspended carbon nanotubes on silicon inverse-opal structures by laser-assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Shi, J; Lu, Y F; Wang, H; Yi, K J; Lin, Y S; Zhang, R; Liou, S H

    2006-01-01

    Suspended single-walled carbon nanotubes (SWNTs) have been synthesized on Si inverse-opal structures by laser-assisted chemical vapour deposition (LCVD). A CW CO 2 laser at 10.6 μm was used to directly irradiate the substrates during the LCVD process. At a laser power density of 14.3 MW m -2 , suspended SWNT networks were found predominantly rooted at the sharp edges in the Si inverse-opal structures. Raman spectroscopy indicated that the SWNT networks were composed of high-quality defect-free SWNTs with an average diameter of 1.3 nm. At a lower laser power density (6.4 MW m -2 ), multi-walled carbon nanotubes (MWNTs) were grown on the entire surface of the substrates. The preference for the synthesis of SWNTs or MWNTs was attributed to the difference in the catalyst sizes as well as the growth temperature in the LCVD process

  7. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  8. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  9. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  10. Chemical vapour deposition at atmospheric pressure of graphene on molybdenum foil: Effect of annealing time on characteristics and corrosion stability of graphene coatings

    International Nuclear Information System (INIS)

    Naghdi, Samira; Jevremović, Ivana; Mišković-Stanković, Vesna; Rhee, Kyong Yop

    2016-01-01

    Highlights: • Atmospheric pressure chemical vapor deposition of graphene on molybdenum foils. • Quality and domain size of graphene layers increased with longer annealing times. • The number of graphene layers decreased with longer annealing times. • Graphene coatings on molybdenum foils exhibited corrosion inhibitive properties. - Abstract: In this work, the effect of pre-annealing of Mo substrate on the quality of graphene layers grown by chemical vapour deposition was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy. Moreover, different electrochemical techniques were employed to investigate the corrosion stability of the graphene coated Mo in 0.1 M NaCl. Longer annealing time resulted in less defective graphene coatings with fewer layers. Graphene coating on the annealed Mo provided better protection against corrosion during the initial exposure times, while after prolonged exposure times, both graphene coatings on annealed and non-annealed Mo exhibited nearly the same corrosion inhibitive properties.

  11. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  12. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  13. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  14. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    Science.gov (United States)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.

    2017-07-01

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

  15. Microstructural development in physical vapour-deposited partially stabilized zirconia thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Sohn, Y. H. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Biederman, R.R. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Sisson, R.D. Jr. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States))

    1994-10-01

    The effects of processing parameters of physical vapour deposition on the microstructure of partially stabilized zirconia (PSZ) thermal barrier coatings have been experimentally investigated. Emphasis has been placed on the crystallographic texture of the PSZ coatings and the microstructure of the top surface of the PSZ coatings as well as the metal-ceramic interface. The variations in the deposition chamber temperature, substrate thickness, substrate rotation and vapour incidence angle resulted in the observation of significant differences in the crystallographic texture and microstructure of the PSZ coatings. ((orig.))

  16. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented.

  17. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    International Nuclear Information System (INIS)

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented

  18. Ethylene vinylacetate copolymer and nanographite composite as chemical vapour sensor

    International Nuclear Information System (INIS)

    Stepina, Santa; Sakale, Gita; Knite, Maris

    2013-01-01

    Polymer-nanostructured carbon composite as chemical vapour sensor is described, made by the dissolution method of a non-conductive polymer, ethylene vinylacetate copolymer, mixed with conductive nanographite particles (carbon black). Sensor exhibits relative electrical resistance change in chemical vapours, like ethanol and toluene. Since the sensor is relatively cheap, easy to fabricate, it can be used in air quality monitoring and at industries to control hazardous substance concentration in the air, for example, to protect workers from exposure to chemical spills

  19. Review of analytical techniques to determine the chemical forms of vapours and aerosols released from overheated fuel

    International Nuclear Information System (INIS)

    Bowsher, B.R.; Nichols, A.L.

    1989-12-01

    A comprehensive review has been undertaken of appropriate analytical techniques to monitor and measure the chemical effects that occur in large-scale tests designed to study severe reactor accidents. Various methods have been developed to determine the chemical forms of the vapours, aerosols and deposits generated during and after such integral experiments. Other specific techniques have the long-term potential to provide some of the desired data in greater detail, although considerable efforts are still required to apply these techniques to the study of radioactive debris. Such in-situ and post-test methods of analysis have been also assessed in terms of their applicability to the analysis of samples from the Phebus-FP tests. The recommended in-situ methods of analysis are gamma-ray spectroscopy, potentiometry, mass spectrometry, and Raman/UV-visible absorption spectroscopy. Vapour/aerosol and deposition samples should also be obtained at well-defined time intervals during each experiment for subsequent post-test analysis. No single technique can provide all the necessary chemical data from these samples, and the most appropriate method of analysis involves a complementary combination of autoradiography, AES, IR, MRS, SEMS/EDS, SIMS/LMIS, XPS and XRD

  20. The role of the gas species on the formation of carbon nanotubes during thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    Ohashi, Fumitaka; Chen, Guan Yow; Stolojan, Vlad; Silva, S Ravi P

    2008-01-01

    In this paper, we investigate the several roles that hydrogen plays in the catalytic growth of carbon nanotubes from the point of view of gas species, catalyst activation and subsequent interaction with the carbon nanotubes. Carbon nanotubes and nanofibres were grown by thermal chemical vapour deposition, using methane and a mixture of hydrogen and helium, for a range of growth temperatures and pre-treatment procedures. Long, straight carbon nanotubes were obtained at 900 deg. C, and although the growth yield increases with the growth temperature, the growth shifts from nanotubes to nanofibres. By introducing a helium purge as part of the pre-treatment procedure, we change the gas chemistry by altering the hydrogen concentration in the initial reaction stage. This simple change in the process resulted in a clear difference in the yield and the structure of the carbon nanofibres produced. We find that the hydrogen concentration in the initial reaction stage significantly affects the morphology of carbon fibres. Although hydrogen keeps the catalyst activated and increases the yield, it prevents the formation of graphitic nanotubes.

  1. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    Science.gov (United States)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry-differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C4H4CuO6 → Cu reaction occurs at ∼250-310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100-400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5-1 μm and fiber diameter of 100-200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  2. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  3. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  4. Transport properties of field effect transistors with randomly networked single walled carbon nanotubes grown by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Kim, Un Jeong; Park, Wanjun

    2009-01-01

    The transport properties of randomly networked single walled carbon nanotube (SWNT) transistors with different channel lengths of L c = 2-10 μm were investigated. Randomly networked SWNTs were directly grown for the two different densities of ρ ∼ 25 μm -2 and ρ ∼ 50 μm -2 by water plasma enhanced chemical vapour deposition. The field effect transport is governed mainly by formation of the current paths that is related to the nanotube density. On the other hand, the off-state conductivity deviates from linear dependence for both nanotube density and channel length. The field effect mobility of holes is estimated as 4-13 cm 2 V -1 s -1 for the nanotube transistors based on the simple MOS theory. The mobility is increased for the higher density without meaningful dependence on the channel lengths.

  5. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  6. The exchange reaction between deuterium and water vapour on platinum deposited over a hydrophobic support

    International Nuclear Information System (INIS)

    Itsuo, Iida; Junko, Kato; Kenzi, Tamuru

    1977-01-01

    Isotope exchange reaction between deuterium gas and water vapour at room temperature and below on platinum deposited on hydrophobic supports such as polytetrafluoroethylene (PTFE) or Porapak Q (copolymer of styrene and divinylbenzene) was studied and the results were compared with those of the exchange reaction on platinum over hydrophilic support such as alumina. It was demonstrated that the exchange reaction at temperatures below the boiling point of water is markedly retarded by the multilayer adsorption of water over the platinum catalyst deposited on hydrophilic support, whereas the platinum catalyst on hydrophobic support exhibited a high catalytic activity, being not retarded by the water, forming no multilayer of adsorbed water over platinum surface. Therefore in the case of the hydrogen isotope exchange reaction on platinum over hydrophobic support, the chemical exchange rate can be measured even under a saturated vapour pressure of water. The surface area of platinum was estimated by hydrogen chemisorption and hydrogen titration and specific activities of the catalyst were calculated at both room temperature and freezing point of water, which revealed that the specific rate of this reaction does not differ so much over various supports. (orig.) [de

  7. The volatile pivalates of Y, Ba and Cu as prospective precursors for metal-organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iljina, E. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Korjeva, A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Dunaeva, K. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-04-15

    The volatile pivalates of Y, Ba and Cu were synthesized and characterized by chemical and thermogravimetric analysis, IR spectroscopy, X-ray diffraction and mass spectrometry. The volatilities of metal pivalates was studied; the vapour pressures, thermodynamic characteristics and rates of sublimation were investigated. The volatile pivalates of Y, Ba and Cu are new prospective accessible compounds. (orig.)

  8. Installation for vacuum vapour deposition of nickel, more particularly for manufacturing neutron guides

    International Nuclear Information System (INIS)

    Samuel, F.

    1986-01-01

    The present invention proposes an installation for vacuum vapour deposition of Ni of the type including in a vacuum chamber a device for heating a mass of at least one Ni isotope to be evaporated, and a surface to be covered with deposited Ni facing the heater, is claimed, in which the heater includes a ribbon of W conformed in a middle part into a container in which is placed a refractory crucible in which is placed the Ni to be evaporated, and adapted to be connected at two terminal zones to an electrical circuit. The crucible is Al203. The invention finds an application in neutron guide fabrication, more particularly for Ni58 vapour deposition on the surfaces of the neutron guide [fr

  9. Synthesis of carbon nanostructures from high density polyethylene (HDPE) and polyethylene terephthalate (PET) waste by chemical vapour deposition

    Science.gov (United States)

    Hatta, M. N. M.; Hashim, M. S.; Hussin, R.; Aida, S.; Kamdi, Z.; Ainuddin, AR; Yunos, MZ

    2017-10-01

    In this study, carbon nanostructures were synthesized from High Density Polyethylene (HDPE) and Polyethylene terephthalate (PET) waste by single-stage chemical vapour deposition (CVD) method. In CVD, iron was used as catalyst and pyrolitic of carbon source was conducted at temperature 700, 800 and 900°C for 30 minutes. Argon gas was used as carrier gas with flow at 90 sccm. The synthesized carbon nanostructures were characterized by FESEM, EDS and calculation of carbon yield (%). FESEM micrograph shows that the carbon nanostructures were only grown as nanofilament when synthesized from PET waste. The synthesization of carbon nanostructure at 700°C was produced smooth and the smallest diameter nanofilament compared to others. The carbon yield of synthesized carbon nanostructures from PET was lower from HDPE. Furthermore, the carbon yield is recorded to increase with increasing of reaction temperature for all samples. Elemental study by EDS analysis were carried out and the formation of carbon nanostructures was confirmed after CVD process. Utilization of polymer waste to produce carbon nanostructures is beneficial to ensure that the carbon nanotechnology will be sustained in future.

  10. Evaluation of freestanding boron-doped diamond grown by chemical vapour deposition as substrates for vertical power electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Issaoui, R.; Achard, J.; Tallaire, A.; Silva, F.; Gicquel, A. [LSPM-CNRS (formerly LIMHP), Universite Paris 13, 99, Avenue Jean-Baptiste Clement, 93430 Villetaneuse (France); Bisaro, R.; Servet, B.; Garry, G. [Thales Research and Technology France, Campus de Polytechnique, 1 Avenue Augustin Fresnel, F-91767 Palaiseau Cedex (France); Barjon, J. [GEMaC-CNRS, Universite de Versailles Saint Quentin Batiment Fermat, 45 Avenue des Etats-Unis, 78035 Versailles Cedex (France)

    2012-03-19

    In this study, 4 x 4 mm{sup 2} freestanding boron-doped diamond single crystals with thickness up to 260 {mu}m have been fabricated by plasma assisted chemical vapour deposition. The boron concentrations measured by secondary ion mass spectroscopy were 10{sup 18} to 10{sup 20} cm{sup -3} which is in a good agreement with the values calculated from Fourier transform infrared spectroscopy analysis, thus indicating that almost all incorporated boron is electrically active. The dependence of lattice parameters and crystal mosaicity on boron concentrations have also been extracted from high resolution x-ray diffraction experiments on (004) planes. The widths of x-ray rocking curves have globally shown the high quality of the material despite a substantial broadening of the peak, indicating a decrease of structural quality with increasing boron doping levels. Finally, the suitability of these crystals for the development of vertical power electronic devices has been confirmed by four-point probe measurements from which electrical resistivities as low as 0.26 {Omega} cm have been obtained.

  11. Surface engineering of artificial heart valve disks using nanostructured thin films deposited by chemical vapour deposition and sol-gel methods.

    Science.gov (United States)

    Jackson, M J; Robinson, G M; Ali, N; Kousar, Y; Mei, S; Gracio, J; Taylor, H; Ahmed, W

    2006-01-01

    Pyrolytic carbon (PyC) is widely used in manufacturing commercial artificial heart valve disks (HVD). Although PyC is commonly used in HVD, it is not the best material for this application since its blood compatibility is not ideal for prolonged clinical use. As a result thrombosis often occurs and the patients are required to take anti-coagulation drugs on a regular basis in order to minimize the formation of thrombosis. However, anti-coagulation therapy gives rise to some detrimental side effects in patients. Therefore, it is extremely urgent that newer and more technically advanced materials with better surface and bulk properties are developed. In this paper, we report the mechanical properties of PyC-HVD, i.e. strength, wear resistance and coefficient of friction. The strength of the material was assessed using Brinell indentation tests. Furthermore, wear resistance and coefficient of friction values were obtained from pin-on-disk testing. The micro-structural properties of PyC were characterized using XRD, Raman spectroscopy and SEM analysis. Also in this paper we report the preparation of freestanding nanocrystalline diamond films (FSND) using the time-modulated chemical vapour deposition (TMCVD) process. Furthermore, the sol-gel technique was used to uniformly coat PyC-HVD with dense, nanocrystalline-titanium oxide (nc-TiO2) coatings. The as-grown nc-TiO2 coatings were characterized for microstructure using SEM and XRD analysis.

  12. Fission product vapour - aerosol interactions in the containment: simulant fuel studies

    International Nuclear Information System (INIS)

    Beard, A.M.; Benson, C.G.; Bowsher, B.R.

    1988-12-01

    Experiments have been conducted in the Falcon facility to study the interaction of fission product vapours released from simulant fuel samples with control rod aerosols. The aerosols generated from both the control rod and fuel sample were chemically distinct and had different deposition characteristics. Extensive interaction was observed between the fission product vapours and the control rod aerosol. The two dominant mechanisms were condensation of the vapours onto the aerosol, and chemical reactions between the two components; sorption phenomena were believed to be only of secondary importance. The interaction of fission product vapours and reactor materials aerosols could have a major impact on the transport characteristics of the radioactive emission from a degrading core. (author)

  13. Surface polish of PLA parts in FDM using dichloromethane vapour

    Directory of Open Access Journals (Sweden)

    Jin Yifan

    2017-01-01

    Full Text Available Fused deposition modelling has become one of the most diffused rapid prototyping techniques, which is widely used to fabricate prototypes. However, further application of this technology is severely limited by poor surface roughness. Thus it is necessary to adopt some operations to improve surface quality. Chemical finishing is typically employed to finish parts in fused deposition modelling (FDM. The purpose of this paper is to decrease the surface roughness for polylactic acid (PLA parts in FDM. The chemical reaction mechanism during the treating process is analysed. Then NaOH solution and dichloromethane vapour are used to treat FDM specimens respectively. A 3D laser microscope has been applied to assess the effects in terms of surface topography and roughness. The experimental results show that treatment using dichloromethane vapour performs much better than NaOH solution. Compared with the untreated group, surface roughness obtained through vapour treatment decreases by 88 per cent. This research has been conducted to provide a better method to treat PLA parts using chemical reagents.

  14. Temperature-dependent Hall effect studies of ZnO thin films grown by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Roro, K T; Dangbegnon, J K; Sivaraya, S; Westraadt, J E; Neethling, J H; Leitch, A W R; Botha, J R; Kassier, G H

    2008-01-01

    The electrical properties of zinc oxide (ZnO) thin films of various thicknesses (0.3–4.4 µm) grown by metalorganic chemical vapour deposition on glass substrates have been studied by using temperature-dependent Hall-effect (TDH) measurements in the 18–300 K range. The high quality of the layers has been confirmed with x-ray diffraction, transmission electron microscopy, scanning electron microscopy and photoluminescence techniques. TDH measurements indicate the presence of a degenerate layer which significantly influences the low-temperature data. It is found that the measured mobility generally increases with increasing layer thickness, reaching a value of 120 cm 2 V −1 s −1 at room temperature for the 4.4 µm thick sample. The lateral grain size of the layers is also found to increase with thickness indicating a clear correlation between the size of the surface grains and the electrical properties of corresponding films. Theoretical fits to the Hall data suggest that the bulk conduction of the layers is dominated by a weakly compensated donor with activation energy in the 33–41 meV range and concentration of the order of 10 17 cm −3 , as well as a total acceptor concentration of mid-10 15 cm −3 . Grain boundary scattering is found to be an important limiting factor of the mobility throughout the temperature range considered

  15. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  16. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  17. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  18. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  19. Development of a new process for deposition of metallic vapours and ions

    International Nuclear Information System (INIS)

    Gabrielli, O. de.

    1989-01-01

    Surface treatment processes by deposition, enabling surface properties to be altered without altering the volume, are making rapid progress in industry. The description of these processes has led us to consider the role and the importance of methods using plasmas. The new plasma source we have developed is the subject of this experimental research: it is the basis of the deposition process (metallic ion and vapour deposition). The specifications and preliminary results enable us to compare this process with others in use. Fast deposition rates and excellent adhesion are the two main characteristics of this process [fr

  20. Comparison of interaction mechanisms of copper phthalocyanine and nickel phthalocyanine thin films with chemical vapours

    Science.gov (United States)

    Ridhi, R.; Singh, Sukhdeep; Saini, G. S. S.; Tripathi, S. K.

    2018-04-01

    The present study deals with comparing interaction mechanisms of copper phthalocyanine and nickel phthalocyanine with versatile chemical vapours: reducing, stable aromatic and oxidizing vapours namely; diethylamine, benzene and bromine. The variation in electrical current of phthalocyanines with exposure of chemical vapours is used as the detection parameter for studying interaction behaviour. Nickel phthalocyanine is found to exhibit anomalous behaviour after exposure of reducing vapour diethylamine due to alteration in its spectroscopic transitions and magnetic states. The observed sensitivities of copper phthalocyanine and nickel phthalcyanine films are different in spite of their similar bond numbers, indicating significant role of central metal atom in interaction mechanism. The variations in electronic transition levels after vapours exposure, studied using UV-Visible spectroscopy confirmed our electrical sensing results. Bromine exposure leads to significant changes in vibrational bands of metal phthalocyanines as compared to other vapours.

  1. Parametric study of waste chicken fat catalytic chemical vapour deposition for controlled synthesis of vertically aligned carbon nanotubes

    Science.gov (United States)

    Suriani, A. B.; Dalila, A. R.; Mohamed, A.; Rosmi, M. S.; Mamat, M. H.; Malek, M. F.; Ahmad, M. K.; Hashim, N.; Isa, I. M.; Soga, T.; Tanemura, M.

    2016-12-01

    High-quality vertically aligned carbon nanotubes (VACNTs) were synthesised using ferrocene-chicken oil mixture utilising a thermal chemical vapour deposition (TCVD) method. Reaction parameters including vaporisation temperature, catalyst concentration and synthesis time were examined for the first time to investigate their influence on the growth of VACNTs. Analysis via field emission scanning electron microscopy and micro-Raman spectroscopy revealed that the growth rate, diameter and crystallinity of VACNTs depend on the varied synthesis parameters. Vaporisation temperature of 570°C, catalyst concentration of 5.33 wt% and synthesis time of 60 min were considered as optimum parameters for the production of VACNTs from waste chicken fat. These parameters are able to produce VACNTs with small diameters in the range of 15-30 nm and good quality (ID/IG 0.39 and purity 76%) which were comparable to those synthesised using conventional carbon precursor. The low turn on and threshold fields of VACNTs synthesised using optimum parameters indicated that the VACNTs synthesised using waste chicken fat are good candidate for field electron emitter. The result of this study therefore can be used to optimise the growth and production of VACNTs from waste chicken fat in a large scale for field emission application.

  2. Direct synthesis of solid and hollow carbon nanospheres over NaCl crystals using acetylene by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chandra Kishore, S.; Anandhakumar, S.; Sasidharan, M., E-mail: sasidharan.m@res.srmuniv.ac.in

    2017-04-01

    Highlights: • Hollow and solid carbon nanospheres were synthesized by CVD method. • NaCl was used as template for direct growth of carbon nanospheres. • Separation of NaCl from the mixture is made easy by dissolving in water. • The hollow carbon nanospheres exhibit high specific capacity in Li-ion batteries than the graphite anodes. - Abstract: Carbon nanospheres (CNS) with hollow and solid morphologies have been synthesised by a simple chemical vapour deposition method using acetylene as a carbon precursor. Sodium chloride (NaCl) powder as a template was used for the direct growth of CNS via facile and low-cost approach. The effect of various temperatures (500 °C, 600 °C and 700 °C) and acetylene flow rates were investigated to study the structural evolution on the carbon products. The purified CNS thus obtained was characterized by various physicochemical techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and cyclicvoltametry. The synthesised hollow nanospheres were investigated as anode materials for Li-ion batteries. After 25 cycles of repeated charge/discharge cycles, the discharge and charge capacities were found to be 574 mAh/g and 570 mAh/g, respectively which are significantly higher than the commercial graphite samples.

  3. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Yongzhong [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Chen, Jian, E-mail: wuhangzs@163.com [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Fu, Qingshan [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Li, Binghong [China Rubber Group Carbon Black Industry Research and Design Institute, 643000 Zigong, Sichuan (China); Zhang, Huazhi; Gong, Yong [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China)

    2015-01-01

    Graphical abstract: - Highlights: • HCNFs were synthesized by one-step CVD using cupric tartrate as a catalyst at temperature below 500 °C. • The synthesis of HCNFs is highly temperature-dependent at the synthesis temperature of 280–480 °C. • The addition of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system. - Abstract: Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry–differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C{sub 4}H{sub 4}CuO{sub 6} → Cu reaction occurs at ∼250–310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100–400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5–1 μm and fiber diameter of 100–200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  4. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    International Nuclear Information System (INIS)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Graphical abstract: - Highlights: • HCNFs were synthesized by one-step CVD using cupric tartrate as a catalyst at temperature below 500 °C. • The synthesis of HCNFs is highly temperature-dependent at the synthesis temperature of 280–480 °C. • The addition of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system. - Abstract: Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry–differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C 4 H 4 CuO 6 → Cu reaction occurs at ∼250–310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100–400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5–1 μm and fiber diameter of 100–200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system

  5. Fabrication of nanostructured clay-carbon nanotube hybrid nanofiller by chemical vapour deposition

    International Nuclear Information System (INIS)

    Manikandan, Dhanagopal; Mangalaraja, Ramalinga Viswanathan; Siddheswaran, Rajendran; Avila, Ricardo E.; Ananthakumar, Solaiappan

    2012-01-01

    Growth of multiwalled carbon nanotube (CNT) assemblies by chemical vapour decomposition (CVD) technique was achieved through decomposition of acetylene using iron impregnated montmorillonite (MM) catalysts. Various amounts of iron loaded montmorillonite catalysts were prepared by wet impregnation method and calcined at 450 °C. The catalysts were subjected to X-ray diffraction (XRD) and surface area analyses. Acetylene decomposition at a feed ratio of N 2 :H 2 :C 2 H 2 = 1:1:0.18 was conducted in the presence of iron impregnated montmorillonite catalysts in the CVD reactor for the growth of CNT structures. The role of Fe-activated clay catalyst on the formation of CNT structures has been systematically examined at various temperatures and correlated with the morphological features of CNTs. Catalyst assisted acetylene decomposition results the formation of different carbon nanostructures such as nanotubes, nanofibres and nanoflakes. These clay-CNT products were characterised for their morphological, thermal, qualitative and quantitative analyses. The morphological variations of CNT assemblies reveal Fe-montmorillonite catalysts have high selectivity at given reaction conditions. Thermogravimetric and Raman spectral analyses prove that the CNTs contain a good crystallanity and less structural defects.

  6. Fabrication of nanostructured clay-carbon nanotube hybrid nanofiller by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Manikandan, Dhanagopal, E-mail: dmani_cat@yahoo.co.in [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Mangalaraja, Ramalinga Viswanathan, E-mail: mangal@udec.cl [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Siddheswaran, Rajendran [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Avila, Ricardo E. [Personal Dosimetry Section, Chilean Nuclear Energy Commission, Santiago (Chile); Ananthakumar, Solaiappan [Materials and Minerals Division, National Institute for Interdisciplinary Science and Technology (NIIST), Trivandrum, Kerala (India)

    2012-03-01

    Growth of multiwalled carbon nanotube (CNT) assemblies by chemical vapour decomposition (CVD) technique was achieved through decomposition of acetylene using iron impregnated montmorillonite (MM) catalysts. Various amounts of iron loaded montmorillonite catalysts were prepared by wet impregnation method and calcined at 450 Degree-Sign C. The catalysts were subjected to X-ray diffraction (XRD) and surface area analyses. Acetylene decomposition at a feed ratio of N{sub 2}:H{sub 2}:C{sub 2}H{sub 2} = 1:1:0.18 was conducted in the presence of iron impregnated montmorillonite catalysts in the CVD reactor for the growth of CNT structures. The role of Fe-activated clay catalyst on the formation of CNT structures has been systematically examined at various temperatures and correlated with the morphological features of CNTs. Catalyst assisted acetylene decomposition results the formation of different carbon nanostructures such as nanotubes, nanofibres and nanoflakes. These clay-CNT products were characterised for their morphological, thermal, qualitative and quantitative analyses. The morphological variations of CNT assemblies reveal Fe-montmorillonite catalysts have high selectivity at given reaction conditions. Thermogravimetric and Raman spectral analyses prove that the CNTs contain a good crystallanity and less structural defects.

  7. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition; Sistema RTP: uma tecnica poderosa para o monitoramento da formacao de nanotubos de carbono durante o processo por deposicao de vapor quimico

    Energy Technology Data Exchange (ETDEWEB)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero, E-mail: rochel@ufmg.b [Universidade Federal de Minas Gerais (DQ/UFMG), Belo Horizonte, MG (Brazil). Dept. de Quimica; Sapag, Karim [Universidade Nacional de San Luis (Argentina). Lab. de Ciencias de Superficies y Medios Porosos

    2010-07-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al{sub 2}O{sub 3} and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  8. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  9. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  10. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  11. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  12. Silicon nanowire arrays as learning chemical vapour classifiers

    International Nuclear Information System (INIS)

    Niskanen, A O; Colli, A; White, R; Li, H W; Spigone, E; Kivioja, J M

    2011-01-01

    Nanowire field-effect transistors are a promising class of devices for various sensing applications. Apart from detecting individual chemical or biological analytes, it is especially interesting to use multiple selective sensors to look at their collective response in order to perform classification into predetermined categories. We show that non-functionalised silicon nanowire arrays can be used to robustly classify different chemical vapours using simple statistical machine learning methods. We were able to distinguish between acetone, ethanol and water with 100% accuracy while methanol, ethanol and 2-propanol were classified with 96% accuracy in ambient conditions.

  13. Electrografting and morphological studies of chemical vapour deposition grown graphene sheets modified by electroreduction of aryldiazonium salts

    International Nuclear Information System (INIS)

    Mooste, Marek; Kibena, Elo; Kozlova, Jekaterina; Marandi, Margus; Matisen, Leonard; Niilisk, Ahti; Sammelselg, Väino; Tammeveski, Kaido

    2015-01-01

    Highlights: • CVD-grown graphene sheets were electrografted with various aryldiazonium salts • Redox grafting was applied to form thick nitrophenyl films • The reduction of the released radicals was in evidence during the redox grafting • Multilayer formation on CVD graphene was confirmed by XPS and AFM measurements • Thickness of different aryl layers on CVD graphene varied from few to 30 nm - Abstract: This work focuses on investigating the electrografting of chemical vapour deposition (CVD) graphene electrodes grown onto Ni foil (Ni/Gra) with different diazonium salts (including azobenzene diazonium tetrafluoroborate, Fast Garnet GBC sulphate salt, Fast Black K salt, 4-bromobenzene diazonium tetrafluoroborate and 4-nitrobenzenediazonium tetrafluoroborate). Various grafting conditions (e.g. “normal” electrografting in the narrow potential range and redox grafting in the wider potential range) were used. The electrochemical grafting behaviour was similar for all diazonium compounds used, except for the 4-nitrobenzenediazonium tetrafluoroborate when redox grafting was applied. The X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy results confirmed the presence of the corresponding aryl layers on Ni/Gra surfaces. The formation of multilayers on Ni/Gra substrates was in evidence since the thickness of different aryl layers varied from few to 30 nm depending on the modification procedures as well as the diazonium compounds used and the XPS analysis revealed a peak at about 400 eV for all aryl-modified Ni/Gra samples suggesting the multilayer formation also through azo linkages

  14. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Chung, S J; Lee, Y S; Suh, E-K; Senthil Kumar, M; An, M H

    2010-01-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  15. Mechanical characteristics of ultra-long horizontal nanocantilevers grown by real-time feedback control on focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Guo, Dengji; Warisawa, Shin’ichi; Ishihara, Sunao; Kometani, Reo

    2015-01-01

    Focused-ion-beam chemical vapour deposition (FIB-CVD) has been repeatedly proved to be a useful tool for the growth of three-dimensional (3D) micro- and nano-structures. The strategy of real-time feedback control on FIB-CVD was previously proposed and experimentally demonstrated to be effective for growing ultra-long horizontal nanocantilevers. To fabricate various nanoelectromechanical systems that consist of such types of nanocantilever structures, the mechanical characteristics of ultra-long horizontal nanocantilevers should be investigated. In this study, nanocantilevers with an overhang length of up to 35 μm were grown by using a 30 kV Ga + FIB, a beam current of 0.50 pA and phenanthrene (C 14 H 10 ) as the gas source to deposit a diamond-like carbon structure. The Young’s modulus of each nanocantilever was measured by bending the nanocantilever with a nanopillar whose Young’s modulus was known. The average density of each nanocantilever was calculated from the Young’s modulus and the measured resonant frequency. We found that the mechanical characteristics of each nanocantilever depended on the length of the nanocantilever if the strategy of real-time feedback control was applied in fabrication. The Young’s moduli and the averaged densities of the nanocantilevers with a length of 11 to 34 μm were found to be 86 to 254 GPa and 1950 to 5750 kg m −3 , respectively. With the increase of the overhang length, the Young’s modulus and the average density were found to gradually increase. (paper)

  16. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  17. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  18. Atmospheric pressure chemical vapour deposition of the nitrides and oxynitrides of vanadium, titanium and chromium

    International Nuclear Information System (INIS)

    Elwin, G.S.

    1999-01-01

    A study has been made into the atmospheric pressure chemical vapour deposition of nitrides and oxynitrides of vanadium, titanium and chromium. Vanadium tetrachloride, vanadium oxychloride, chromyl chloride and titanium tetrachloride have been used as precursors with ammonia, at different flow conditions and temperatures. Vanadium nitride, vanadium oxynitride, chromium oxynitride, titanium/vanadium nitride and titanium/chromium oxynitride have been deposited as thin films on glass. The APCVD reaction of VCl 4 and ammonia leads to films with general composition VN x O y . By raising the ammonia concentration so that it is in excess (0.42 dm 3 min -1 VCl 4 with 1.0 dm 3 min -1 NH 3 at 500 deg. C) a film has been deposited with the composition VN 0.8 O 0.2 . Further investigation discovered similar elemental compositions could be reached by deposition at 350 deg. C (0.42 dm 3 min -1 VCl 4 with 0.5 dm 3 min -1 NH 3 ), followed by annealing at 650 deg. C, and cooled under a flow of ammonia. Only films formed below 400 deg. C were found to contain carbon or chlorine ( 3 and ammonia also lead to films of composition VN x O y the oxygen to nitrogen ratios depending on the deposition conditions. The reaction Of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.2 dm 3 min -1 ) at 500 deg. C lead to a film of composition VN 0. 47O 1.06 . The reaction of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.5 dm 3 min -1 ) at 650 deg. C lead to a film of composition VN 0.63 O 0.41 . The reaction of chromyl chloride with excess ammonia led to the formation of chromium oxide (Cr 2 O 3 ) films. Mixed metal films were prepared from the reactions of vanadium tetrachloride, titanium tetrachloride and ammonia to prepare V x Ti y N z and chromyl chloride, titanium tetrachloride and ammonia to form TiCr x O y N z . Both reactions produced the intended mixed coating but it was found that the vanadium / titanium nitride contained around 10 % vanadium whatever the conditions used. Oxygen contamination

  19. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  20. Antimicrobial activity of novel nanostructured Cu-SiO2 coatings prepared by chemical vapour deposition against hospital related pathogens.

    Science.gov (United States)

    Varghese, Sajnu; Elfakhri, Souad O; Sheel, David W; Sheel, Paul; Bolton, Frederick J Eric; Foster, Howard A

    2013-09-05

    There is increasing recognition that the healthcare environment acts as an important reservoir for transmission of healthcare acquired infections (HCAI). One method of reducing environmental contamination would be use of antimicrobial materials. The antimicrobial activity of thin silica-copper films prepared by chemical vapour deposition was evaluated against standard strains of bacteria used for disinfectant testing and bacteria of current interest in HCAI. The structure of the coatings was determined using Scanning Electron Microscopy and their hardness and adhesion to the substrate determined. Antimicrobial activity was tested using a method based on BS ISO 22196:2007. The coatings had a pale green-brown colour and had a similar hardness to steel. SEM showed nano-structured aggregates of Cu within a silica matrix. A log10 reduction in viability of >5 could be obtained within 4 h for the disinfectant test strains and within 6 h for producing Acinetobacter baumannii, Klebsiella pneumoniae and Stenotrophomonas maltophilia. Activity against the other hospital isolates was slower but still gave log10 reduction factors of >5 for extended spectrum β-lactamase producing Escherichia coli and >3 for vancomycin resistant Enterococcus faecium, methicillin resistant Staphylococcus aureus and Pseudomonas aeruginosa within 24 h. The results demonstrate the importance of testing antimicrobial materials destined for healthcare use against isolates of current interest in hospitals as well as standard test strains. The coatings used here can also be applied to substrates such as metals and ceramics and have potential applications where reduction of microbial environmental contamination is desirable.

  1. Chemical vapour generation of silver: reduced palladium as permanent reaction modifier for enhanced performance

    Czech Academy of Sciences Publication Activity Database

    Matoušek, Tomáš; Sturgeon, R. E.

    2004-01-01

    Roč. 19, č. 8 (2004), s. 1014-1017 ISSN 0267-9477 R&D Projects: GA ČR GA203/01/0453 Institutional research plan: CEZ:AV0Z4031919 Keywords : chemical vapour generation * chemical modification * silver Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 3.926, year: 2004

  2. Effects of the ion-solid interaction in glow discharge vapour deposition polymerization of pyromellitic dianhydride

    International Nuclear Information System (INIS)

    Maggioni, G.; Carturan, S.; Rigato, V.; Pieri, U.

    2000-01-01

    Low energy He ion bombardment of pyromellitic dianhydride monomer used in glow discharge vapour deposition polymerization (GDVDP) of polyimide coatings and its effects on the film deposition process have been studied. The sublimation of the monomer molecules and the simultaneous formation of a damaged, carbon-rich surface layer on the target are discussed from a theoretical point of view based on simulations of the ion-solid interaction. Optical emission and mass spectrometry have been used to analyse the species emitted from the target. In order to study the time evolution of the PMDA target damage, the deposition rate of monomer molecules has been monitored. FT-IR spectroscopy has been used to determine the molecular damaging of the target monomer and deposited films

  3. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  4. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  5. MEDUSA: The ExoMars experiment for in-situ monitoring of dust and water vapour

    Science.gov (United States)

    Colangeli, L.; Lopez-Moreno, J. J.; Nørnberg, P.; Della Corte, V.; Esposito, F.; Mazzotta Epifani, E.; Merrison, J.; Molfese, C.; Palumbo, P.; Rodriguez-Gomez, J. F.; Rotundi, A.; Visconti, G.; Zarnecki, J. C.; The International Medusa Team

    2009-07-01

    Dust and water vapour are fundamental components of the Martian atmosphere. In view of tracing the past environmental conditions on Mars, that possibly favoured the appearing of life forms, it is important to study the present climate and its evolution. Here dust and water vapour have (and have had) strong influence. Of major scientific interest is the quantity and physical, chemical and electrical properties of dust and the abundance of water vapour dispersed in the atmosphere and their exchange with the surface. Moreover, in view of the exploration of the planet with automated systems and in the future by manned missions, it is of primary importance to analyse the hazards linked to these environmental factors. The Martian Environmental Dust Systematic Analyser (MEDUSA) experiment, included in the scientific payload of the ESA ExoMars mission, accommodates a complement of sensors, based on optical detection and cumulative mass deposition, that aims to study dust and water vapour in the lower Martian atmosphere. The goals are to study, for the first time, in-situ and quantitatively, physical properties of the airborne dust, including the cumulative dust mass flux, the dust deposition rate, the physical and electrification properties, the size distribution of sampled particles and the atmospheric water vapour abundance versus time.

  6. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  7. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  8. Advancements, Challenges and Prospects of Chemical Vapour Pressure at Atmospheric Pressure on Vanadium Dioxide Structures

    Directory of Open Access Journals (Sweden)

    Charalampos Drosos

    2018-03-01

    Full Text Available Vanadium (IV oxide (VO2 layers have received extensive interest for applications in smart windows to batteries and gas sensors due to the multi-phases of the oxide. Among the methods utilized for their growth, chemical vapour deposition is a technology that is proven to be industrially competitive because of its simplicity when performed at atmospheric pressure (APCVD. APCVD’s success has shown that it is possible to create tough and stable materials in which their stoichiometry may be precisely controlled. Initially, we give a brief overview of the basic processes taking place during this procedure. Then, we present recent progress on experimental procedures for isolating different polymorphs of VO2. We outline emerging techniques and processes that yield in optimum characteristics for potentially useful layers. Finally, we discuss the possibility to grow 2D VO2 by APCVD.

  9. Sistema RTP: uma técnica poderosa para o monitoramento da formação de nanotubos de carbono durante o processo por deposição de vapor químico TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    Juliana Cristina Tristão

    2010-01-01

    Full Text Available In this work, a TPR (Temperature Programmed Reduction system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition, The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al2O3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields.

  10. A dilute Cu(Ni) alloy for synthesis of large-area Bernal stacked bilayer graphene using atmospheric pressure chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Madito, M. J.; Bello, A.; Dangbegnon, J. K.; Momodu, D. Y.; Masikhwa, T. M.; Barzegar, F.; Manyala, N., E-mail: ncholu.manyala@up.ac.za [Department of Physics, Institute of Applied Materials, SARCHI Chair in Carbon Technology and Materials, University of Pretoria, Pretoria 0028 (South Africa); Oliphant, C. J.; Jordaan, W. A. [National Metrology Institute of South Africa, Private Bag X34, Lynwood Ridge, Pretoria 0040 (South Africa); Fabiane, M. [Department of Physics, Institute of Applied Materials, SARCHI Chair in Carbon Technology and Materials, University of Pretoria, Pretoria 0028 (South Africa); Department of Physics, National University of Lesotho, P.O. Roma 180 (Lesotho)

    2016-01-07

    A bilayer graphene film obtained on copper (Cu) foil is known to have a significant fraction of non-Bernal (AB) stacking and on copper/nickel (Cu/Ni) thin films is known to grow over a large-area with AB stacking. In this study, annealed Cu foils for graphene growth were doped with small concentrations of Ni to obtain dilute Cu(Ni) alloys in which the hydrocarbon decomposition rate of Cu will be enhanced by Ni during synthesis of large-area AB-stacked bilayer graphene using atmospheric pressure chemical vapour deposition. The Ni doped concentration and the Ni homogeneous distribution in Cu foil were confirmed with inductively coupled plasma optical emission spectrometry and proton-induced X-ray emission. An electron backscatter diffraction map showed that Cu foils have a single (001) surface orientation which leads to a uniform growth rate on Cu surface in early stages of graphene growth and also leads to a uniform Ni surface concentration distribution through segregation kinetics. The increase in Ni surface concentration in foils was investigated with time-of-flight secondary ion mass spectrometry. The quality of graphene, the number of graphene layers, and the layers stacking order in synthesized bilayer graphene films were confirmed by Raman and electron diffraction measurements. A four point probe station was used to measure the sheet resistance of graphene films. As compared to Cu foil, the prepared dilute Cu(Ni) alloy demonstrated the good capability of growing large-area AB-stacked bilayer graphene film by increasing Ni content in Cu surface layer.

  11. GE NANOCLUSTERS IN PLANAR GLASS WAVEGUIDES DEPOSITED BY PECVD

    DEFF Research Database (Denmark)

    Haiyan, Ou; Olsen, Johnny H.; Rottwitt, Karsten

    2004-01-01

    Germanium (Ge) has been widely used as the dopant in the core layer of planar glass waveguides to increase the refractive index because it gives a small propagation loss. Plasma enhanced chemical vapour deposition (PECVD) and flame hydrolysis deposition (FHD) are two main material deposition meth...

  12. Ge incorporation inside 4H-SiC during Homoepitaxial growth by chemical vapor deposition

    OpenAIRE

    Alassaad, Kassem; Soulière, Véronique; Cauwet, François; Peyre, Hervé; Carole, Davy; Kwasnicki, Pawel; Juillaguet, Sandrine; Kups, Thomas; Pezoldt, Jörg; Ferro, Gabriel

    2014-01-01

    8 pages; International audience; In this work, we report on the addition of GeH4 gas during homoepitaxial growth of 4H-SiC by chemical vapour deposition. Ge introduction does not affect dramatically the surface morphology and defect density though it is accompanied with Ge droplets accumulation at the surface. The Ge incorporation level inside the 4H-SiC matrix, ranging from few 1017 to few 1018 at.cm-3, was found to be mainly affected by the growth temperature and GeH4 flux. Other growth par...

  13. Study of a new hybrid process combining slurry infiltration and Reactive Chemical Vapour Infiltration for the realisation of Ceramic Matrix Composites

    International Nuclear Information System (INIS)

    Ledain, Olivier

    2014-01-01

    Ceramic matrix composites were originally developed for aerospace,military aeronautics or energy applications thanks to their good properties at high temperature. They are generally made by Chemical Vapor Infiltration (CVI). A new short hybrid process combining fiber preform slurry impregnation of ceramic powders with an innovative Reactive CVI (RCVI) route is proposed to reduce the production time. This route is based on the combination of Reactive Chemical Vapour Deposition (RCVD), which is often used to deposit coatings on fibres, with the Chemical Vapor Infiltration (CVI).In RCVD, the absence of one element of the deposited carbide in the initial gas phase involves the consumption/conversion of the solid substrate. In this work, the RCVD growth and the associated consumption were studied with different parameters in the Ti-H-Cl-C chemical system. The study has been completed with the chemical products analysis, combining XRD, XPS and FTIR. Then, the partial conversion of sub-micrometer carbon powders into titanium carbide and the consolidation of green bodies by RCVI from H 2 /TiCl 4 gaseous infiltration were studied. The residual porosity and the final TiC content were measured in the bulk of the infiltrated powders by image analysis from scanning electron microscopy. Depending on temperature, few hundred micrometers-depth infiltrations are obtained.Finally, the results have been transposed to the RCVI into CMC-type pre-forms. Despite a minimal TiC content of 25% in the overall preform, the results shown a bad homogeneity of the infiltration and a poor cohesion of fibres with RCVI consolidated powder of their environment. (author) [fr

  14. Tritium gas and tritiated water vapour behaviour in the environment from releases into the atmosphere from fusion reactors

    International Nuclear Information System (INIS)

    Velarde, Marta; Perlado, Manuel

    2001-01-01

    The diffusion of tritium from fusion reactors follows different ways according to the present chemical form, tritium gas or tritiated water vapour. The atmospheric conditions, speed and direction of the wind, rain intensity or stability class, are key factors in the dry and wet deposition. The obtained results demonstrate that the wet deposition is critical for the incorporation of the tritiated water vapour to the natural biological chain. However, the dry deposition is the factor that influences in the tritium gas form. The conversion of HT into HTO in the soil is rapid (1-7 days), and 20% of HT deposited in the soil is reemitted to the atmosphere in the form HTO, while the rest incorporates into the biological cycle. The rain factor accelerates the incorporation of tritium to the ground, the superficial waters and the underground waters

  15. Chemical vapour transport of pyrite (FeS 2) with halogen (Cl, Br, I)

    Science.gov (United States)

    Fiechter, S.; Mai, J.; Ennaoui, A.; Szacki, W.

    1986-12-01

    A systematic study of chemical vapour transport (CVT) of pyrite with halogen, hydrogen halides and ammonium halides as transporting agents has shown that the transport with chlorine and bromine in a temperature gradient Δ T = 920-820 K yields the highest transport rates (˜6 mg/h) with crystals up to 5 mm edge length. Computing thermochemical equilibria and flux functions in the system Fe-S-Hal (Hal = Cl, Br, I) it has been confirmed that the transport velocity of pyrite is limited by the concentration of FeHal 2 in the vapour phase, the equilibrium position between FeHal 2(g) and FeHal 3(g) and the flux directions of the iron gas species.

  16. Vapour trap development and operational experience

    International Nuclear Information System (INIS)

    Jansing, W.; Kirchner, G.; Menck, J.

    1977-01-01

    Sodium aerosols have the unpleasant characteristic that they deposit at places with low temperature level. This effect can be utilized when sodium aerosols are to be trapped at places which are determined beforehand. Thus vapour traps were developed which can filter sodium vapour from the cover gas. By this means the necessity was eliminated to heat all gas lines and gas systems with trace heaters just as all sodium lines are heated. It was of special interest for the INTERATOM to develop vapour traps which must not be changed or cleaned after a certain limited operating period. The vapour traps were supposed to enable maintenance free operation, i.e. they were to operate 'self cleaning'

  17. IR Laser Decomposition of 1,3-Disilacyclobutane in Presence of Carbon Disulfide: Chemical Vapour Deposition of Polythiacarbosilane

    Czech Academy of Sciences Publication Activity Database

    Urbanová, Markéta; Pola, Josef

    2004-01-01

    Roč. 689, č. 16 (2004), s. 2697-2701 ISSN 0022-328X R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4072921 Keywords : laser * polythiacarbosilane * chemical vapor deposition Subject RIV: CC - Organic Chemistry Impact factor: 1.905, year: 2004

  18. The effect of air permeability of chemical protective clothing material on clothing vapour resistance

    NARCIS (Netherlands)

    Havenith, G.; Vuister, R.; Wammes, L.

    1996-01-01

    One of the major problems associated with Chemical Warfare Protective Clothing (CW) is the additional heat load created by the garments. For CW-overgarments, research in the direction of reducing material thickness and thus heat and vapour resistance have not resulted in major improvements. The

  19. Phosphorus doping and deposition pressure effects on optical and electrical properties of polysilicon

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Abdelkrim, M.M.; Fathallah, M.; Mohammed-Brahim, T.; Rogel, R.

    2006-01-01

    The optical and electrical properties of amorphously deposited and then post-crystallized silicon films are studied as a function of the deposition pressure and the phosphorus doping. Amorphous silicon films are deposited in a high pressure regime by SAPCVD (Sub-Atmospheric Pressure Chemical Vapour Deposition) to study the effect of the deposition pressure. They are also deposited in a low pressure regime by LPCVD (Low Pressure Chemical Vapour Deposition) to study the effect of a low phosphorus doping. Both types of amorphous films are then crystallized in the solid phase at 600 deg. C. Using different optical and electrical characterization techniques, the beneficial effect of a high pressure as well as of a weak phosphorus doping on the decrease of the defect density is highlighted. These results give some ways to improve the quality of polysilicon enough to be used in photovoltaic or in thin film electronic devices

  20. Synthesis of carbon-13 labelled carbonaceous deposits and their evaluation for potential use as surrogates to better understand the behaviour of the carbon-14-containing deposit present in irradiated PGA graphite

    Energy Technology Data Exchange (ETDEWEB)

    Payne, L., E-mail: liam.payne@bristol.ac.uk [Interface Analysis Centre, HH Wills Physics Laboratory, University of Bristol, BS8 1TL (United Kingdom); Walker, S.; Bond, G. [Centre for Materials Science, University of Central Lancashire, PR1 2HE (United Kingdom); Eccles, H. [John Tyndall Institute for Nuclear Research, School of Computing, Engineering and Physical Sciences, University of Central Lancashire, PR1 2HE (United Kingdom); Heard, P.J.; Scott, T.B. [Interface Analysis Centre, HH Wills Physics Laboratory, University of Bristol, BS8 1TL (United Kingdom); Williams, S.J. [Radioactive Waste Management, B587, Curie Avenue, Harwell Oxford, Didcot, OX11 0RH (United Kingdom)

    2016-03-15

    The present work has used microwave plasma chemical vapour deposition to generate suitable isotopically labelled carbonaceous deposits on the surface of Pile Grade A graphite for use as surrogates for studying the behaviour of the deposits observed on irradiated graphite extracted from UK Magnox reactors. These deposits have been shown elsewhere to contain an enhanced concentration of {sup 14}C compared to the bulk graphite. A combination of Raman spectroscopy, ion beam milling with scanning electron microscopy and secondary ion mass spectrometry were used to determine topography and internal morphology in the formed deposits. Direct comparison was made against deposits found on irradiated graphite samples trepanned from a Magnox reactor core and showed a good similarity in appearance. This work suggests that the microwave plasma chemical vapour deposition technique is of value in producing simulant carbon deposits, being of sufficiently representative morphology for use in non-radioactive surrogate studies of post-disposal behaviour of {sup 14}C-containing deposits on some irradiated Magnox reactor graphite.

  1. The practical use and application of Monte-Carlo studies in physical vapour deposition technology

    International Nuclear Information System (INIS)

    Elsing, R.

    1991-01-01

    Most of the theory of physical vapour deposition processes has been well researched. There has, however, been little attempt to exploit the theoretical results of this research for practical development of these technologies. This paper develops a computer model for the magnetron sputter ion plating process, in which known physical laws are combined with geometry models of the kind used in computer-aided design technology. The model enables deposition rates and incidence angle distributions to be described for any desired substrate geometry and configuration, taking into account the dominant process parameters. Deposition rates and incidence angle distributions are computed for various film materials and process parameters and are compared with measured values, indicating excellent agreement. A final example shows the dependence of film thickness distribution at the bottom of a slot on a notched component on the geometrical nature of the workpiece itself and on its positioning in the process space. (orig.)

  2. Raman Spectroscopic Study of Carbon Nanotubes Prepared Using Fe/ZnO-Palm Olein-Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Syazwan Afif Mohd Zobir

    2012-01-01

    Full Text Available Multiwalled carbon nanotubes (MWCNTs were synthesized using Fe/ZnO catalyst by a dual-furnace thermal chemical vapor deposition (CVD method at 800–1000°C using nitrogen gas with a constant flow rate of 150 sccm/min as a gas carrier. Palm olein (PO, ferrocene in the presence of 0.05 M zinc nitrate, and a p-type silicon wafer were used as carbon source, catalyst precursor, and sample target, respectively. D, G, and G′ bands were observed at 1336–1364, 1559–1680, and 2667–2682 cm-1, respectively. Carbon nanotubes (CNTs with the highest degree of crystallinity were obtained at around 8000°C, and the smallest diameter of about 2 nm was deposited on the silicon substrate at 1000°C.

  3. Modelling water vapour permeability through atomic layer deposition coated photovoltaic barrier defects

    Energy Technology Data Exchange (ETDEWEB)

    Elrawemi, Mohamed, E-mail: Mohamed.elrawemi@hud.ac.uk [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Blunt, Liam; Fleming, Leigh [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Bird, David, E-mail: David.Bird@uk-cpi.com [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Robbins, David [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Sweeney, Francis [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom)

    2014-11-03

    Transparent barrier films such as Al{sub 2}O{sub 3} used for prevention of oxygen and/or water vapour permeation are the subject of increasing research interest when used for the encapsulation of flexible photovoltaic modules. However, the existence of micro-scale defects in the barrier surface topography has been shown to have the potential to facilitate water vapour ingress, thereby reducing cell efficiency and causing internal electrical shorts. Previous work has shown that small defects (≤ 3 μm lateral dimension) were less significant in determining water vapour ingress. In contrast, larger defects (≥ 3 μm lateral dimension) seem to be more detrimental to the barrier functionality. Experimental results based on surface topography segmentation analysis and a model presented in this paper will be used to test the hypothesis that the major contributing defects to water vapour transmission rate are small numbers of large defects. The model highlighted in this study has the potential to be used for gaining a better understanding of photovoltaic module efficiency and performance. - Highlights: • A model of water vapour permeation through barrier defects is presented. • The effect of the defects on the water vapour permeability is investigated. • Defect density correlates with water vapour permeability. • Large defects may dominate the permeation properties of the barrier film.

  4. Journal of Chemical Sciences | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Chemical vapour deposition (CVD); boron carbide nitride nanotubes (BCNTs); BCNT-modified electrode; NO electrooxidation. ... Key Laboratory of Functional Inorganic Material Chemistry, Ministry of Education, Key Laboratory of Material Chemistry, School of Chemistry and Chemical Engineering, Heilongjiang University, ...

  5. Hair analysis as a useful procedure for detection of vapour exposure to chemical warfare agents: simulation of sulphur mustard with methyl salicylate.

    Science.gov (United States)

    Spiandore, Marie; Piram, Anne; Lacoste, Alexandre; Josse, Denis; Doumenq, Pierre

    2014-06-01

    Chemical warfare agents (CWA) are highly toxic compounds which have been produced to kill or hurt people during conflicts or terrorist attacks. Despite the fact that their use is strictly prohibited according to international convention, populations' exposure still recently occurred. Development of markers of exposure to CWA is necessary to distinguish exposed victims from unexposed ones. We present the first study of hair usage as passive sampler to assess contamination by chemicals in vapour form. This work presents more particularly the hair adsorption capacity for methyl salicylate used as a surrogate of the vesicant sulphur mustard. Chemical vapours toxicity through the respiratory route has historically been defined through Haber's law's concentration-time (Ct) product, and vapour exposure of hair to methyl salicylate was conducted with various times or doses of exposure in the range of incapacitating and lethal Ct products corresponding to sulphur mustard. Following exposure, extraction of methyl salicylate from hair was conducted by simple soaking in dichloromethane. Methyl salicylate could be detected on hair for vapour concentration corresponding to about one fifth of the sulphur mustard concentration that would kill 50% of exposed individuals (LCt50). The amount of methyl salicylate recovered from hair increased with time or dose of exposure. It showed a good correlation with the concentration-time product, suggesting that hair could be used like a passive sampler to assess vapour exposure to chemical compounds. It introduces great perspectives concerning the use of hair as a marker of exposure to CWA. Copyright © 2014 John Wiley & Sons, Ltd.

  6. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  7. Crystalline gamma-Al2O3 physical vapour deposition-coating for steel thixoforging tools.

    Science.gov (United States)

    Bobzin, K; Hirt, G; Bagcivan, N; Khizhnyakova, L; Ewering, M

    2011-10-01

    The process of thixoforming, which has been part of many researches during the last decades, combines the advantages of forging and casting for the shaping of metallic components. But due to the high temperatures of semi-solid steel alloys high demands on the tools are requested. To resists the thermal and mechanical loads (wear, friction, thermal and thermomechanical fatigue) protecting thin films are necessary. In this regard crystalline gamma-Al2O3 deposited via Physical Vapour Deposition (PVD) is a promising candidate: It exhibits high thermal stability, high oxidation resistance and high hot hardness. In the present work the application of a (Ti, Al)N/gamma-Al2O3 coating deposited by means of Magnetron Sputter Ion Plating in an industrial coating unit is presented. The coating was analysed by means of Rockwell test, nanoindentation, and Scanning Electron Microscopy (SEM). The coated tool was tested in thixoforging experiments with steel grade X210CrW12 (AlSI D6). The surface of the coated dies was examined with Scanning Electron Microscope (SEM) after 22, 42, 90 and 170 forging cycles.

  8. Growth and properties of Al-rich InxAl1-xN ternary alloy grown on GaN template by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Oh, Tae Su; Suh, Eun-Kyung; Kim, Jong Ock; Jeong, Hyun; Lee, Yong Seok; Nagarajan, S; Lim, Kee Young; Hong, Chang-Hee

    2008-01-01

    An Al-rich In x Al 1-x N ternary alloy was grown on a GaN template by metal-organic chemical vapour deposition (MOCVD). The GaN template was fabricated on a c-plane sapphire with a low temperature GaN nucleation layer. The growth of the 300 nm thick In x Al 1-x N layer was carried out under various growth temperatures and pressures. The surface morphology and the InN molar fraction of the In x Al 1-x N layer were assessed by using atomic force microscopy (AFM) and high resolution x-ray diffraction, respectively. The AFM surface images of the In x Al 1-x N ternary alloy exhibited quantum dot-like grains caused by the 3D island growth mode. The grains, however, disappeared rapidly by increasing diffusion length and mobility of the Al adatoms with increasing growth temperature and the full width at half maximum value of ternary peaks in HR-XRD decreased with decreasing growth pressure. The MOCVD growth condition with the increased growth temperature and decreased growth pressure would be effective to grow the In x Al 1-x N ternary alloy with a smooth surface and improved quality. The optical band edge of In x Al 1-x N ternary alloys was estimated by optical absorbance and, based on the results of HR-XRD and optical absorbance measurements, we obtained the bowing parameter of the In x Al 1-x N ternary alloy at b = 5.3 eV, which was slightly larger than that of previous reports

  9. Investigation of chemical vapour deposition diamond detectors by X-ray micro-beam induced current and X-ray micro-beam induced luminescence techniques

    International Nuclear Information System (INIS)

    Olivero, P.; Manfredotti, C.; Vittone, E.; Fizzotti, F.; Paolini, C.; Lo Giudice, A.; Barrett, R.; Tucoulou, R.

    2004-01-01

    Tracking detectors have become an important ingredient in high-energy physics experiments. In order to survive the harsh detection environment of the large hadron collider (LHC), trackers need to have special properties. They must be radiation hard, provide fast collection of charge, be as thin as possible and remove heat from readout electronics. The unique properties of diamond allow it to fulfill these requirements. In this work we present an investigation of the charge transport and luminescence properties of 'detector grade' artificial chemical vapour deposition (CVD) diamond devices developed within the CERN RD42 collaboration, performed by means of X-ray micro-beam induced current collection (XBICC) and X-ray micro-beam induced luminescence (XBIL) techniques. XBICC technique allows quantitative estimates of the transport parameters of the material to be evaluated and mapped with micrometric spatial resolution. In particular, the high resolution and sensitivity of the technique has allowed a quantitative study of the inhomogeneity of the charge transport parameter defined as the product of mobility and lifetime for both electron and holes. XBIL represents a technique complementary to ion beam induced luminescence (IBIL), which has already been used by our group, since X-ray energy loss profile in the material is different from that of MeV ions. X-ray induced luminescence maps have been performed simultaneously with induced photocurrent maps, to correlate charge transport and induced luminescence properties of diamond. Simultaneous XBICC and XBIL maps exhibit features of partial complementarity that have been interpreted on the basis of considerations on radiative and non-radiative recombination processes which compete with charge transport efficiency

  10. Rapid mixing chemical oxidative polymerization: an easy route to ...

    Indian Academy of Sciences (India)

    Administrator

    (SDCNTs)/PANI nanofibres (NFs) has been prepared using an easy in situ rapid mixing chemical ... SDCNTs thin film was obtained using thermal chemical vapour deposition method in ... In the next step, 250 mL of aqueous HCl was taken in a.

  11. Synthesis and characterization of Bi.sub.1-x./sub.Nd.sub.x./sub.FeO.sub.3./sub. thin films deposited using a high throughput physical vapour deposition technique

    Czech Academy of Sciences Publication Activity Database

    Darby, M.S.B.; Karpinsky, D.V.; Pokorný, Jan; Guerin, D.; Kholkin, A. L.; Miao, S.; Haydn, B.E.; Reaney, I. M.

    2013-01-01

    Roč. 531, MAR (2013), s. 56-60 ISSN 0040-6090 Institutional support: RVO:68378271 Keywords : bismuth ferrite * piezoelectric * neodymium doped * high throughput * combinatorial * physical vapour deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.867, year: 2013 http://www.sciencedirect.com/science/article/pii/S004060901201646X

  12. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  13. Preparation and physical properties of vapour-deposited carbon-carbon composites

    International Nuclear Information System (INIS)

    Loll, Philippe

    1976-01-01

    In its first part, this research thesis reports a bibliographical study on methods of preparation of various types of vapour-deposited (CVD) carbons, and the author notices that only structure and texture properties of these macroscopically homogeneous pyro-carbons have been studied in detail. For a better understanding of the behaviour of carbon-carbon composites, this thesis thus reports the study of the relationships between physical properties, macroscopic texture and microscopic structure. A densification installation and methods of characterisation have been developed. The fabrication process and its installation are presented (oven with its temperature and gas rate controls, study of its thermal gradient, substrate, heat treatments), and the study and characterisation of carbon-carbon composites are reported: structure and texture properties (studied by optic and scanning electronic microscopy, density measurements, and X-ray diffraction), physical properties (electronic paramagnetic resonance, static magnetism, electric and thermal conductivity). In the last part, the author comments and discusses the obtained results: conditions of preparation, existence, physical properties of the different observed microstructures [fr

  14. Raman Spectroscopic Study of Carbon Nano tubes Prepared Using Fe/ZnO-Palm Olein-Chemical Vapour Deposition Syazwan

    International Nuclear Information System (INIS)

    Zobir, A.M.; Abdullah, S.; Rusop, M.; Abdullah, S.; Abu Bakar, S.; Zainal, Z.; Sarijo, S.H.; Rusop, M.

    2012-01-01

    Multi walled carbon nano tubes (MWCNTs) were synthesized using Fe/ZnO catalyst by a dual-furnace thermal chemical vapor deposition (CVD) method at 800-1000 degree C using nitrogen gas with a constant flow rate of 150 sccm/min as a gas carrier. Palm olein (PO), ferrocene in the presence of 0.05 M zinc nitrate, and a p-type silicon wafer were used as carbon source, catalyst precursor, and sample target, respectively. D, G, and G' bands were observed at 1336-1364, 1559-1680, and 2667-2682 cm -1 , respectively. Carbon nano tubes (CNTs) with the highest degree of crystallinity were obtained at around 8000 degree C, and the smallest diameter of about 2 nm was deposited on the silicon substrate at 1000 degree C.

  15. Electrical characterization of low temperature deposited oxide films ...

    Indian Academy of Sciences (India)

    Unknown

    electronics on the same substrate (Water and Chu 2002). Plasma enhanced chemical vapour deposition (PECVD) .... sion in depletion region is due to the presence of inter- face traps. The total capacitance in inversion ... The conductance technique is used to deter- mine the interface state density throughout the depletion.

  16. Liquid and vapour-phase antifungal activities of selected essential oils against candida albicans: microscopic observations and chemical characterization of cymbopogon citratus

    Directory of Open Access Journals (Sweden)

    Malik Anushree

    2010-11-01

    Full Text Available Abstract Background Use of essential oils for controlling Candida albicans growth has gained significance due to the resistance acquired by pathogens towards a number of widely-used drugs. The aim of this study was to test the antifungal activity of selected essential oils against Candida albicans in liquid and vapour phase and to determine the chemical composition and mechanism of action of most potent essential oil. Methods Minimum Inhibitory concentration (MIC of different essential oils in liquid phase, assayed through agar plate dilution, broth dilution & 96-well micro plate dilution method and vapour phase activity evaluated through disc volatilization method. Reduction of C. albicans cells with vapour exposure was estimated by kill time assay. Morphological alteration in treated/untreated C. albicans cells was observed by the Scanning electron microscopy (SEM/Atomic force microscopy (AFM and chemical analysis of the strongest antifungal agent/essential oil has been done by GC, GC-MS. Results Lemon grass (Cymbopogon citratus essential oil exhibited the strongest antifungal effect followed by mentha (Mentha piperita and eucalyptus (Eucalyptus globulus essential oil. The MIC of lemon grass essential oil in liquid phase (288 mg/l was significantly higher than that in the vapour phase (32.7 mg/l and a 4 h exposure was sufficient to cause 100% loss in viability of C. albicans cells. SEM/AFM of C. albicans cells treated with lemon grass essential oil at MIC level in liquid and vapour phase showed prominent shrinkage and partial degradation, respectively, confirming higher efficacy of vapour phase. GC-MS analysis revealed that lemon grass essential oil was dominated by oxygenated monoterpenes (78.2%; α-citral or geranial (36.2% and β-citral or neral (26.5%, monoterpene hydrocarbons (7.9% and sesquiterpene hydrocarbons (3.8%. Conclusion Lemon grass essential oil is highly effective in vapour phase against C. albicans, leading to deleterious

  17. Microstructural and chemical variation of TiO{sub 2} electrodes in DSSCs after ethanol vapour treatment

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yanhui [School of Physics and Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2 (Ireland); Zhang, Hongzhou, E-mail: hongzhou.zhang@tcd.ie [School of Physics and Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2 (Ireland); Fox, Daniel [School of Physics and Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2 (Ireland); Faulkner, Colm C. [Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2 (Ireland); Jeng, David; Bari, Mazhar [SolarPrint Ltd, Dublin 18 (Ireland)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer A simple ethanol vapour post-treatment was applied to the TiO{sub 2} electrode in a DSSC. Black-Right-Pointing-Pointer A stable efficiency improvement was evident after this post-treatment. Black-Right-Pointing-Pointer Structural and chemical modifications of the treatment were systematically investigated using advanced electron microscopy. Black-Right-Pointing-Pointer Morphology changes in favour of the efficiency improvement were identified (increased porosity and reduced TiO{sub 2} particle size). Black-Right-Pointing-Pointer EELS study confirmed that stronger coupling formed between the dye and the treated TiO{sub 2}. - Abstract: TiO{sub 2} based dye-sensitized solar cells (DSSCs) have great potential to solve many energy challenges, however, their low energy conversion rate is still a barrier for further applications. Ethanol vapour post-treatment can improve the DSSC's conversion efficiency without changing its architecture, and a stable 2-3% improvement was found in our experiments. Microstructural and chemical factors were investigated using scanning electron microscopy and analytical electron microscopy on treated and untreated electrodes. The vapour treatment improved the porosity and surface-to-volume ratio of the TiO{sub 2} particles, decreased electron transport loss between TiO{sub 2} and fluorine doped tin oxide, and increased hydroxyl sites on the TiO{sub 2} particle's surface. The modification therefore enhanced the dye uptake and dye-TiO{sub 2} coupling, and it reduced the energy loss during the carrier transfer.

  18. The Chemical Vapour Deposition of Tantalum - in long narrow channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki

    protective layers of tantalum because of the process’ ability to coat complex geometries and its relative ease to control. This work focuses on studying the CVD of tantalum in long narrow channels with the view that the knowledge gained during the project can be used to optimise the commercial coating...... and that there is a major change in morphology between 850 – 900 °C. The effects of system pressure and precursor partial pressure are also studied, and were found to have relevance to the tantalum distribution along the substrates but little effect on the structural morphology of the deposited layer. In the implemented...

  19. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  20. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  1. The vapour phase deposition of boron on titanium by the reaction between gaseous boron trichloride and titanium metal. Final report

    International Nuclear Information System (INIS)

    Cameron, D.J.; Shelton, R.A.J.

    1965-03-01

    The reaction, between boron trichloride vapour and titanium has been investigated in the temperature range 200 - 1350 deg. C. It has been found that an initial reaction leads to the formation of titanium tetrachloride and the deposition of boron on titanium, but that except for reactions between 900 and 1000 deg. C, the system is complicated by the formation of lower titanium chlorides due to secondary reactions between the titanium and titanium tetrachloride

  2. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  3. Predicting Vapour Pressures of Organic Compounds from Their Chemical Structure for Classification According to the VOCDirective and Risk Assessment in General

    Directory of Open Access Journals (Sweden)

    Frands Nielsen

    2001-03-01

    Full Text Available The use of organic compounds in the European Union will in the future be regulated in accordance with the Council Directive 1999/13/EC of 11 March 1999 [1]. In this directive, any organic compound is considered to be a volatile organic compound (VOC if it has a vapour pressure of 10 Pa or more at 20oC, or has a corresponding volatility under the particular condition of use. Introduction of such a limit will sometimes create problems, because vapour pressures cannot be determined with an infinite accuracy. Published data on vapour pressures for a true VOC will sometimes be found to be below 10 Pa and vice versa. When the same limit was introduced in the USA, a considerable amount of time and money were spent in vain on comparing incommensurable data [2]. In this paper, a model is presented for prediction of the vapour pressures of VOCs at 20oC from their chemical (UNIFAC structure. The model is implemented in a computer program, named P_PREDICT, which has larger prediction power close to 10 Pa at 20oC than the other models tested. The main advantage of the model, however, is that no experimental data, which will introduce uncertainty in the predictions, is needed. Classification using P_PREDICT, which only predicts one value for a given UNIFAC structure, is proposed. Organic compounds, which can be described by the UNIFAC groups in the present version of P_PREDICT, therefore, can be classified unambiguously as either VOCs or non-VOCs. Most people, including the present authors, feel uneasy about prioritising precision above accuracy. Modelling vapour pressures, however, could save a lot of money and the errors introduced are not large enough to have any substantial adverse effects for neither human beings nor the environment. A method for calculating vapour pressures at other temperatures than 20oC is tested with a dubious result. This method is used for EU risk assessment of new and existing chemicals.

  4. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  5. Atomic layer deposition of Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/TiO{sub 2} barrier coatings to reduce the water vapour permeability of polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Ahmadzada, Tamkin, E-mail: tahm4852@uni.sydney.edu.au [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia); McKenzie, David R.; James, Natalie L.; Yin, Yongbai [School of Physics, University of Sydney, NSW 2006 (Australia); Li, Qing [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia)

    2015-09-30

    We demonstrate significantly enhanced barrier properties of polyetheretherketone (PEEK) against water vapour penetration by depositing Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/TiO{sub 2} nanofilms grown by atomic layer deposition (ALD). Nanoindentation analysis revealed good adhesion strength of a bilayer Al{sub 2}O{sub 3}/TiO{sub 2} coating to PEEK, while the single layer Al{sub 2}O{sub 3} coating displayed flaking and delamination. We identified three critical design parameters for achieving the optimum barrier properties of ALD Al{sub 2}O{sub 3}/TiO{sub 2} coatings on PEEK. These are a minimum total thickness dependent on the required water vapour transmission rate, the use of an Al{sub 2}O{sub 3}/TiO{sub 2} bilayer coating and the application of the coating to both sides of the PEEK film. Using these design parameters, we achieved a reduction in moisture permeability of PEEK of over two orders of magnitude while maintaining good adhesion strength of the polymer–thin film system. - Highlights: • Atomic layer deposition of Al{sub 2}O{sub 3}/TiO{sub 2} coatings reduced water vapour permeability. • Bilayer coatings reduced the permeability more than single layer coatings. • Bilayer coatings displayed higher adhesion strength than the single layer coatings. • Double-sided coatings performed better than single-sided coatings. • Correlation was found between total thickness and reduced water vapour permeability.

  6. Metalorganic chemical vapor deposition of iron disulfide and its use for solar energy conversion

    Science.gov (United States)

    Ennaoui, Ahmed; Fiechter, Sebastian; Vogel, Ralf; Giersig, M.; Weller, Horst; Tributsch, Helmut

    1992-12-01

    Thin polycrystalline films of iron disulfide have been grown on different substrates by chemical vapour deposition. The films were characterized using optical absorption and TEM. RBS and EDAX analysis has been used to explore the chemical stoichiometry. XRD and FTIR allowed the identification of both FeS2 phases pyrite and marcasite. A novel method for sensitization of highly porous Ti02 elecrodes with ultra thin (10-20 nm) polycrystalline films of FeS2 (pyrite) is presented. Photoelectrochemical solar cell using the above electrode generated high photovoltage of up to 600mV compared with single crystalline electrode (200 mV). In this device the semiconductor with a small band gap and high absorption coefficient (FeS2 pyrite; EG = 0.9 eV; a = 6 x 105 cm-1) absorbs the light and injects electrons into the conduction band the wide band gap semiconductor (Ti02 anatase; EG = 3.2 eV). Regeneration of holes is taking place by electron transfer from redox system in the electrolyte.

  7. Atomic origins of water-vapour-promoted alloy oxidation.

    Science.gov (United States)

    Luo, Langli; Su, Mao; Yan, Pengfei; Zou, Lianfeng; Schreiber, Daniel K; Baer, Donald R; Zhu, Zihua; Zhou, Guangwen; Wang, Yanting; Bruemmer, Stephen M; Xu, Zhijie; Wang, Chongmin

    2018-05-07

    The presence of water vapour, intentional or unavoidable, is crucial to many materials applications, such as in steam generators, turbine engines, fuel cells, catalysts and corrosion 1-4 . Phenomenologically, water vapour has been noted to accelerate oxidation of metals and alloys 5,6 . However, the atomistic mechanisms behind such oxidation remain elusive. Through direct in situ atomic-scale transmission electron microscopy observations and density functional theory calculations, we reveal that water-vapour-enhanced oxidation of a nickel-chromium alloy is associated with proton-dissolution-promoted formation, migration, and clustering of both cation and anion vacancies. Protons derived from water dissociation can occupy interstitial positions in the oxide lattice, consequently lowering vacancy formation energy and decreasing the diffusion barrier of both cations and anions, which leads to enhanced oxidation in moist environments at elevated temperatures. This work provides insights into water-vapour-enhanced alloy oxidation and has significant implications in other material and chemical processes involving water vapour, such as corrosion, heterogeneous catalysis and ionic conduction.

  8. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  9. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  10. Detection of chemical substances in water using an oxide nanowire transistor covered with a hydrophobic nanoparticle thin film as a liquid-vapour separation filter

    Directory of Open Access Journals (Sweden)

    Taekyung Lim

    2016-08-01

    Full Text Available We have developed a method to detect the presence of small amounts of chemical substances in water, using a Al2O3 nanoparticle thin film covered with phosphonic acid (HDF-PA self-assembled monolayer. The HDF-PA self-assembled Al2O3 nanoparticle thin film acts as a liquid-vapour separation filter, allowing the passage of chemical vapour while blocking liquids. Prevention of the liquid from contacting the SnO2 nanowire and source-drain electrodes is required in order to avoid abnormal operation. Using this characteristic, the concentration of chemical substances in water could be evaluated by measuring the current changes in the SnO2 nanowire transistor covered with the HDF-PA self-assembled Al2O3 nanoparticle thin film.

  11. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition

    Science.gov (United States)

    Mon-Pérez, E.; Salazar, J.; Ramos, E.; Santoyo Salazar, J.; López Suárez, A.; Dutt, A.; Santana, G.; Marel Monroy, B.

    2016-11-01

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH2Cl2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH3/SiH2Cl2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  12. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  13. Chemically deposited tungsten fibre-reinforced tungsten – The way to a mock-up for divertor applications

    Directory of Open Access Journals (Sweden)

    J. Riesch

    2016-12-01

    Full Text Available The development of advanced materials is essential for sophisticated energy systems like a future fusion reactor. Tungsten fibre-reinforced tungsten composites (Wf/W utilize extrinsic toughening mechanisms and therefore overcome the intrinsic brittleness of tungsten at low temperature and its sensitivity to operational embrittlement. This material has been successfully produced and tested during the last years and the focus is now put on the technological realisation for the use in plasma facing components of fusion devices. In this contribution, we present a way to utilize Wf/W composites for divertor applications by a fabrication route based on the chemical vapour deposition (CVD of tungsten. Mock-ups based on the ITER typical design can be realized by the implementation of Wf/W tiles. A concept based on a layered deposition approach allows the production of such tiles in the required geometry. One fibre layer after the other is positioned and ingrown into the W-matrix until the final sample size is reached. Charpy impact tests on these samples showed an increased fracture energy mainly due to the ductile deformation of the tungsten fibres. The use of Wf/W could broaden the operation temperature window of tungsten significantly and mitigate problems of deep cracking occurring typically in cyclic high heat flux loading. Textile techniques are utilized to optimise the tungsten wire positioning and process speed of preform production. A new device dedicated to the chemical deposition of W enhances significantly, the available machine time for processing and optimisation. Modelling shows that good deposition results are achievable by the use of a convectional flow and a directed temperature profile in an infiltration process.

  14. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  15. Photoluminescence characteristics of low indium composition InGaN thin films grown on sapphire by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, Z.C.; Liu, W.; Chua, S.J.; Yu, J.W.; Yang, C.C.; Yang, T.R.; Zhao, J.

    2006-01-01

    The wavelength shifts in the photoluminescence (PL) from low indium composition (∼ 3%) InGaN epitaxial thin films, grown on sapphire substrates by metalorganic chemical vapour deposition, has been studied by a combination of experiment and theory. As temperature increases from 6 K, the PL peak energy red-shifts very slightly first, then blue-shifts to reach a maximum at near 100 K, and red-shifts again till room temperature. This unique PL behaviour, indicating the existence of the phase separation, is interpreted qualitatively from the spatial variation of band structure due to the In-compositional fluctuation. Theoretical calculation, based upon a model involving the band-tail states in the radiative recombination, explains the experimental data successfully

  16. Neutron diffraction and thermal studies of amorphous CS2 realised by low-temperature vapour deposition

    International Nuclear Information System (INIS)

    Yamamuro, O.; Matsuo, T.; Onoda-Yamamuro, N.; Takeda, K.; Munemura, H.; Tanaka, S.; Misawa, M.

    2003-01-01

    We have succeeded in preparing amorphous carbon disulphide (CS 2 ) by depositing its vapour on a cold substrate at 10 K. Complete formation of the amorphous state has been confirmed by neutron diffraction and differential thermal analysis (DTA). The amorphous sample crystallized at ca. 70 K, which is lower than the hypothetical glass transition temperature (92 K) estimated from the DTA data of the (CS 2 ) x (S 2 Cl 2 ) 1-x binary mixture. CS 2 , a symmetric linear tri-atomic molecule, is the simplest of the amorphized molecular substances whose structural and thermal information has been reported so far. Comparison of the static structure factors S(Q) has shown that the orientational correlation of CS 2 molecules may be much stronger in the amorphous state than in the liquid state at higher temperature. (authors)

  17. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  18. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  19. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  20. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    Science.gov (United States)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-02-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H.

  1. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    International Nuclear Information System (INIS)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-01-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H 2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H

  2. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Mon-Pérez, E; Salazar, J; Ramos, E; Salazar, J Santoyo; Suárez, A López; Dutt, A; Santana, G; Monroy, B Marel

    2016-11-11

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH 2 Cl 2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH 3 /SiH 2 Cl 2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  3. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  4. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    International Nuclear Information System (INIS)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-01-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the Unreal TM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures

  5. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    Science.gov (United States)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-12-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the UnrealTM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures.

  6. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  7. Sensing response of copper phthalocyanine salt dispersed glass with organic vapours

    Energy Technology Data Exchange (ETDEWEB)

    Ridhi, R.; Sachdeva, Sheenam; Saini, G. S. S.; Tripathi, S. K., E-mail: surya@pu.ac.in [Department of Physics, Center of Advanced Study in Physics, Panjab University, Chandigarh-160 014 (INDIA) Fax: +91-172-2783336; Tel.:+91-172-2544362 (India)

    2016-05-06

    Copper Phthalocyanine and other Metal Phthalocyanines are very flexible and tuned easily to modify their structural, spectroscopic, optical and electrical properties by either functionalizing them with various substituent groups or by replacing or adding a ligand to the central metal atom in the phthalocyanine ring and accordingly can be made sensitive and selective to various organic species or gaseous vapours. In the present work, we have dispersed Copper Phthalocyanine Salt (CuPcS) in sol-gel glass form using chemical route sol-gel method and studied its sensing mechanism with organic vapours like methanol and benzene and found that current increases onto their exposure with vapours. A variation in the activation energies was also observed with exposure of vapours.

  8. Chemical nature of catalysts of oxide nanoparticles in environment

    Indian Academy of Sciences (India)

    Carbon nanostructures (CNS) are often grown using oxide nanoparticles as catalyst in chemical vapour deposition and these oxides are not expected to survive as such during growth. In the present study, the catalysts of cobalt- and nickel oxide-based nanoparticles of sizes varying over a range have been reduced at 575 ...

  9. 3D-printed poly(vinylidene fluoride)/carbon nanotube composites as a tunable, low-cost chemical vapour sensing platform

    Energy Technology Data Exchange (ETDEWEB)

    Kennedy, Z. C.; Christ, J. F.; Evans, K. A.; Arey, B. W.; Sweet, L. E.; Warner, M. G.; Erikson, R. L.; Barrett, C. A.

    2017-01-01

    We report the production of flexible, highly-conductive poly(vinylidene flouride) (PVDF) and multi-walled carbon nanotube (MWCNT) composites as filament feedstock for 3D-printing. This account further describes, for the first-time, fused deposition modelling (FDM) derived 3D-printed objects with chemiresistive properties in response to volatile organic compounds. The typically prohibitive thermal expansion and die swell characteristics of PVDF were minimized by the presence of MWCNTs in the composites enabling straightforward processing and printing. The nanotubes form a dispersed network as characterized by helium ion microscopy, contributing to excellent conductivity (1 x 10-2 S / cm). The printed composites contain little residual metal particulate relative to parts from commercial PLA-nanocomposite material visualized by micro X-ray computed tomography (μ-CT) and corroborated with thermogravimetric analysis. Printed sensing strips, with MWCNT loadings up to 15 % mass, function as reversible vapour sensors with the strongest responses arising with organic compounds capable of readily intercalating, and subsequently swelling the PVDF matrix (acetone and ethyl acetate). A direct correlation between MWCNT concentration and resistance change was also observed, with larger responses (up to 161 % after 3 minutes) generated with decreased MWCNT loadings. These findings highlight the utility of FDM printing in generating low-cost sensors that respond strongly and reproducibly to target vapours. Furthermore, the sensors can be easily printed in different geometries, expanding their utility to wearable form factors. The proposed formulation strategy may be tailored to sense diverse sets of vapour classes through structural modification of the polymer backbone and/or functionalization of the nanotubes within the composite.

  10. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  11. DESIGN of MICRO CANTILEVER BEAM for VAPOUR DETECTION USING COMSOL MULTI PHYSICS SOFTWARE

    OpenAIRE

    Sivacoumar R; Parvathy JM; Pratishtha Deep

    2015-01-01

    This paper gives an overview of micro cantilever beam of various shapes and materials for vapour detection. The design of micro cantilever beam, analysis and simulation is done for each shape. The simulation is done using COMSOL Multi physics software using structural mechanics and chemical module. The simulation results of applied force and resulting Eigen frequencies will be analyzed for different beam structures. The vapour analysis is done using flow cell that consists of chemical pill...

  12. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  13. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  14. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  15. Neutron diffraction and thermal studies of amorphous CS{sub 2} realised by low-temperature vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamuro, O.; Matsuo, T. [Osaka Univ., Dept. of Chemistry, Graduate School of Sciences (Japan); Onoda-Yamamuro, N. [Tokyo Denki Univ., College of Sciences and Technology (Japan); Takeda, K. [Naruto Univ., Dept. of Chemistry, Tokushima (Japan); Munemura, H.; Tanaka, S.; Misawa, M. [Niigata Univ. (Japan). Faculty of Science

    2003-08-01

    We have succeeded in preparing amorphous carbon disulphide (CS{sub 2}) by depositing its vapour on a cold substrate at 10 K. Complete formation of the amorphous state has been confirmed by neutron diffraction and differential thermal analysis (DTA). The amorphous sample crystallized at ca. 70 K, which is lower than the hypothetical glass transition temperature (92 K) estimated from the DTA data of the (CS{sub 2}){sub x}(S{sub 2}Cl{sub 2}){sub 1-x} binary mixture. CS{sub 2}, a symmetric linear tri-atomic molecule, is the simplest of the amorphized molecular substances whose structural and thermal information has been reported so far. Comparison of the static structure factors S(Q) has shown that the orientational correlation of CS{sub 2} molecules may be much stronger in the amorphous state than in the liquid state at higher temperature. (authors)

  16. Deposition of chemically reactive and repellent sites on biosensor chips for reduced non-specific binding.

    Science.gov (United States)

    Gandhiraman, R P; Gubala, V; Le, N C H; Nam, Le Cao Hoai; Volcke, C; Doyle, C; James, B; Daniels, S; Williams, D E

    2010-08-01

    The performances of new polymeric materials with excellent optical properties and good machinability have led the biomedical diagnostics industry to develop cheap disposable biosensor platforms appropriate for point of care applications. Zeonor, a type of cycloolefin polymer (COP), is one such polymer that presents an excellent platform for biosensor chips. These polymer substrates have to be modified to have suitable physico-chemical properties for immobilizing proteins. In this work, we have demonstrated the amine functionalization of COP substrates, by plasma enhanced chemical vapour deposition (PECVD), through codeposition of ethylene diamine and 3-aminopropyltriethoxysilane precursors, for building chemistries on the plastic chip. The elemental composition, adhesion, ageing and reactivity of the plasma polymerized film were examined. The Si-O functionality present in amino silane contributed for a good interfacial adhesion of the coating to COP substrates and also acted as a network building layer for plasma polymerization. Wet chemical modification was then carried out on the amine functionalized chips to create chemically reactive isothiocyanate sites and protein repellent fluorinated sites on the same chip. The density of the reactive and repellent sites was altered by choosing appropriate mixtures of homofunctional phenyldiisothiocyanate (PDITC), pentafluoroisothiocyanate (5FITC) and phenylisothiocyanate (PITC) compounds. By tailoring the density of reactive binding sites and protein repellent sites, the non-specific binding of ssDNA has been decreased to a significant extent. Copyright 2010 Elsevier B.V. All rights reserved.

  17. Dispersion of gold nanoclusters in TMBPA-polycarbonate by a combination of thermal embedding and vapour-induced crystallization

    International Nuclear Information System (INIS)

    Kruse, J; Dolgner, K; Greve, H; Zaporojtchenko, V; Faupel, F

    2006-01-01

    Gold nanoclusters can be dispersed into the surface of a bisphenol-A polycarbonate film by acetone vapour induced crystallization, an effect which has been demonstrated in a previous publication of our group. Gold nanoclusters were deposited by physical vapour deposition on an amorphous thin film of polycarbonate. After vapour induced crystallization these clusters were detected by depth profiling to be embedded into the surface, with a concentration maximum in a depth of approximately 100 nm. In this work, we replaced the BPA by the modified tetramethyl bisphenol-A polycarbonate, which shows a slower crystallization kinetics. A strong enhancement of the dispersion depth has been achieved by thermal pre-embedding of the clusters into the surface. Surface analysis by means of atomic force microscopy reflects the rearrangement of polymer material in the course of crystallization

  18. Influence of composition and structure on the mechanical properties of BCN coatings deposited by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Stoeckel, S.; Weise, K.; Dietrich, D.; Thamm, T.; Braun, M.; Cremer, R.; Neuschuetz, D.; Marx, G

    2002-12-02

    BCN films were deposited by isothermal chemical vapour deposition from gaseous mixtures of trimethylborazine, toluene and ammonia. The films were analysed with respect to chemical state, composition, morphology and microstructure on the one side oxidation behaviour and hardness on the other side. X-ray spectroscopy (WDX), Raman and infrared spectroscopy, differential thermal analysis, X-ray diffraction and transmission electron spectroscopy were employed for film characterization. A microhardness of maximum 20 GPa was achieved, affected by carbon content, by the way of its incorporation into the hexagonal turbostratic lattice as well as by the crystallite size and its texture.

  19. Influence of composition and structure on the mechanical properties of BCN coatings deposited by thermal CVD

    International Nuclear Information System (INIS)

    Stoeckel, S.; Weise, K.; Dietrich, D.; Thamm, T.; Braun, M.; Cremer, R.; Neuschuetz, D.; Marx, G.

    2002-01-01

    BCN films were deposited by isothermal chemical vapour deposition from gaseous mixtures of trimethylborazine, toluene and ammonia. The films were analysed with respect to chemical state, composition, morphology and microstructure on the one side oxidation behaviour and hardness on the other side. X-ray spectroscopy (WDX), Raman and infrared spectroscopy, differential thermal analysis, X-ray diffraction and transmission electron spectroscopy were employed for film characterization. A microhardness of maximum 20 GPa was achieved, affected by carbon content, by the way of its incorporation into the hexagonal turbostratic lattice as well as by the crystallite size and its texture

  20. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  1. Metal-organic chemical vapor deposition of ultra-thin photovoltaic devices using a pyrite based p-i-n structure

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, A.J., E-mail: andy.clayton@optictechnium.com [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Irvine, S.J.C.; Barrioz, V.; Brooks, W.S.M. [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Zoppi, G.; Forbes, I. [NPAC, Northumbria University, Newcastle upon Tyne, NE1 8ST (United Kingdom); Rogers, K.D.; Lane, D.W.; Hutchings, K.; Roncallo, S. [Centre for Material Science and Engineering, Cranfield University, Swindon, SN6 8LA (United Kingdom)

    2011-08-31

    Ultra-thin photovoltaic (PV) devices were produced by atmospheric pressure metal organic chemical vapour deposition (AP-MOCVD) incorporating a highly absorbing intermediate sulphurised FeS{sub x} layer into a CdS/CdTe structure. X-ray diffraction (XRD) confirmed a transitional phase change to pyrite FeS{sub 2} after post growth sulphur (S) annealing of the FeS{sub x} layer between 400 deg. C and 500 deg. C. Devices using a superstrate configuration incorporating a sulphurised or non-sulphurised FeS{sub x} layer were compared to p-n devices with only a CdS/CdTe structure. Devices with sulphurised FeS{sub x} layers performed least efficiently, even though pyrite fractions were present. Rutherford back scattering (RBS) confirmed deterioration of the CdS/FeS{sub x} interface due to S inter-diffusion during the annealing process.

  2. Deposition of plasmon gold-fluoropolymer nanocomposites

    Science.gov (United States)

    Safonov, Alexey I.; Sulyaeva, Veronica S.; Timoshenko, Nikolay I.; Kubrak, Konstantin V.; Starinskiy, Sergey V.

    2016-12-01

    Degradation-resistant two-dimensional metal-fluoropolymer composites consisting of gold nanoparticles coated with a thin fluoropolymer film were deposited on a substrate by hot wire chemical vapour deposition (HWCVD) and ion sputtering. The morphology and optical properties of the obtained coatings were determined. The thickness of the thin fluoropolymer film was found to influence the position of the surface plasmon resonance peak. Numerical calculations of the optical properties of the deposited materials were performed using Mie theory and the finite-difference time-domain (FDTD) method. The calculation results are consistent with the experimental data. The study shows that the position of the resonance peak can be controlled by changing the surface concentration of particles and the thickness of the fluoropolymer coating. The protective coating was found to prevent the plasmonic properties of the nanoparticles from changing for several months.

  3. Progress in the deposition of MgB2 thin films

    International Nuclear Information System (INIS)

    Xi, X X; Pogrebnyakov, A V; Zeng, X H; Redwing, J M; Xu, S Y; Li, Qi; Liu, Zi-Kui; Lettieri, J; Vaithyanathan, V; Schlom, D G; Christen, H M; Zhai, H Y; Goyal, A

    2004-01-01

    An MgB 2 thin film deposition technology is the first critical step in the development of superconducting electronics utilizing the 39 K superconductor. It turned out to be a challenging task due to the volatility of Mg and phase stability of MgB 2 , the low sticking coefficients of Mg at elevated temperatures, and the reactivity of Mg with oxygen. A brief overview of current deposition techniques is provided here from a thermodynamic perspective, with an emphasis on a very successful technique for high quality in situ epitaxial MgB 2 films, the hybrid physical-chemical vapour deposition. Examples of heterostructures of MgB 2 with other materials are also presented

  4. Anti corrosion layer for stainless steel in molten carbonate fuel cell - comprises phase vapour deposition of titanium nitride, aluminium nitride or chromium nitride layer then oxidising layer in molten carbonate electrolyte

    DEFF Research Database (Denmark)

    2000-01-01

    Forming an anticorrosion protective layer on a stainless steel surface used in a molten carbonate fuel cell (MCFC) - comprises the phase vapour deposition (PVD) of a layer comprising at least one of titanium nitride, aluminium nitride or chromium nitride and then forming a protective layer in situ...

  5. Microstructure Evolution of Electron Beam Physical Vapour Deposited Ni-23.5Cr-2.66Co-1.44Al Superalloy Sheet During Annealing at 600 °C

    Directory of Open Access Journals (Sweden)

    Li Mingwei

    2013-02-01

    Full Text Available Microstructure evolution of electron beam physical vapour deposited (EB-PVD Ni‑23.5Cr‑2.66Co‑1.44Al superalloy sheet during annealing at 600 °C was investigated. The results showed that the as-deposited alloy was composed of only g phase. After annealing at 600 °C, the locations of diffraction peaks were still the same. The (220 diffraction peak of the deposition side increased with annealing time. The sheet on deposited side had a tendency toward forming (220 texture during post-annealing. No obvious texture was observed at as-deposited and annealed sheet at 600 °C in substrate side. The count and size of "voids" decreased with time. The size of grains increased obviously with annealing time. The ultimate tensile strength of EB-PVD Ni-23.5Cr-2.66Co-1.44Al alloy sheet increased from 641 MPa to 829 MPa after annealing at 600 °C for 30 hours.

  6. Growth kinetics of nc-Si:H deposited at 200 °C by hot-wire chemical vapour deposition

    CSIR Research Space (South Africa)

    Oliphant, CJ

    2011-05-01

    Full Text Available deposited on single-side polished (100) crystalline silicon and Corning 7059 glass substrates using an ultra-high vacuum HWCVD system [3] from various 3 gas mixtures of SiH4 and H2. The H-dilution ratio, defined as )( 42 2 SiHH HR ?+? ? = , where..., South Africa 2 National Metrology Institute of South Africa, Private Bag X34, Lynwood Ridge, Pretoria 0040, South Africa 3 CSIR National Centre for Nano-Structured Materials, P. O. Box 395, Pretoria 0001, South Africa Abstract We report...

  7. Deposition of particles and iodine to outdoor surfaces and in the respiratory tract

    International Nuclear Information System (INIS)

    Garland, J.A.

    1988-01-01

    Dry deposition of particles depends strongly on particle size, and is also influenced by the geometry of the surface and weather parameters. Precipitation scavenging is also influenced to some degree by particle size, but hygroscopic properties of soluble particles are also likely to enhance deposition in precipitation. Similar comments apply in the respiratory tract, where particle size and solubility may influence the extent and site of deposition: the site is important for insoluble particles at least since it determines retention time in the body. Thus measurement of particle size and investigation of solubility would be valuable in interpreting deposition inhalation and air concentration observations. Iodine has several chemical forms in the air. It is valuable to sample in such a way that different forms are partitioned, although there is some uncertainty in their identification. The rate of deposition to vegetation depends strongly on the chemical form of the iodine, but the vapour forms of iodine that occur in the atmosphere may all be retained efficiently on inhalation

  8. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  9. Manufacture of Bi-cuprate thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Bertelsen, Christian Vinther; Andersen, Niels Hessel

    2014-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors dissolved in xylene. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c...

  10. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  11. Chemical Selectivity and Sensitivity of a 16-Channel Electronic Nose for Trace Vapour Detection

    Directory of Open Access Journals (Sweden)

    Drago Strle

    2017-12-01

    Full Text Available Good chemical selectivity of sensors for detecting vapour traces of targeted molecules is vital to reliable detection systems for explosives and other harmful materials. We present the design, construction and measurements of the electronic response of a 16 channel electronic nose based on 16 differential microcapacitors, which were surface-functionalized by different silanes. The e-nose detects less than 1 molecule of TNT out of 10+12 N2 molecules in a carrier gas in 1 s. Differently silanized sensors give different responses to different molecules. Electronic responses are presented for TNT, RDX, DNT, H2S, HCN, FeS, NH3, propane, methanol, acetone, ethanol, methane, toluene and water. We consider the number density of these molecules and find that silane surfaces show extreme affinity for attracting molecules of TNT, DNT and RDX. The probability to bind these molecules and form a surface-adsorbate is typically 10+7 times larger than the probability to bind water molecules, for example. We present a matrix of responses of differently functionalized microcapacitors and we propose that chemical selectivity of multichannel e-nose could be enhanced by using artificial intelligence deep learning methods.

  12. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  13. The Seasonal Cycle of Water Vapour on Mars from Assimilation of Thermal Emission Spectrometer Data

    Science.gov (United States)

    Steele, Liam J.; Lewis, Stephen R.; Patel, Manish R.; Montmessin, Franck; Forget, Francois; Smith, Michael D.

    2014-01-01

    We present for the first time an assimilation of Thermal Emission Spectrometer (TES) water vapour column data into a Mars global climate model (MGCM). We discuss the seasonal cycle of water vapour, the processes responsible for the observed water vapour distribution, and the cross-hemispheric water transport. The assimilation scheme is shown to be robust in producing consistent reanalyses, and the global water vapour column error is reduced to around 2-4 pr micron depending on season. Wave activity is shown to play an important role in the water vapour distribution, with topographically steered flows around the Hellas and Argyre basins acting to increase transport in these regions in all seasons. At high northern latitudes, zonal wavenumber 1 and 2 stationary waves during northern summer are responsible for spreading the sublimed water vapour away from the pole. Transport by the zonal wavenumber 2 waves occurs primarily to the west of Tharsis and Arabia Terra and, combined with the effects of western boundary currents, this leads to peak water vapour column abundances here as observed by numerous spacecraft. A net transport of water to the northern hemisphere over the course of one Mars year is calculated, primarily because of the large northwards flux of water vapour which occurs during the local dust storm around L(sub S) = 240-260deg. Finally, outlying frost deposits that surround the north polar cap are shown to be important in creating the peak water vapour column abundances observed during northern summer.

  14. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  15. A sensor of alcohol vapours based on thin polyaniline base film and quartz crystal microbalance.

    Science.gov (United States)

    Ayad, Mohamad M; El-Hefnawey, Gad; Torad, Nagy L

    2009-08-30

    Thin films of polyaniline base, emeraldine base (EB), coating on the quartz crystal microbalance (QCM) electrode were used as a sensitive layer for the detection of a number of primary aliphatic alcohols such as ethanol, methanol, 2-propanol and 1-propanol vapours. The frequency shifts (Deltaf) of the QCM were increased due to the vapour adsorption into the EB film. Deltaf were found to be linearly correlated with the concentrations of alcohols vapour in part per million (ppm). The sensitivity of the sensor was found to be governed by the chemical structure of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusions of different alcohols vapour were studied and the diffusion coefficients (D) were calculated. It is concluded that the diffusion of the vapours into the EB film follows Fickian kinetics.

  16. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  17. Structural and chemical transformations in SnS thin films used in chemically deposited photovoltaic cells

    International Nuclear Information System (INIS)

    Avellaneda, David; Delgado, Guadalupe; Nair, M.T.S.; Nair, P.K.

    2007-01-01

    Chemically deposited SnS thin films possess p-type electrical conductivity. We report a photovoltaic structure: SnO 2 :F-CdS-SnS-(CuS)-silver print, with V oc > 300 mV and J sc up to 5 mA/cm 2 under 850 W/m 2 tungsten halogen illumination. Here, SnO 2 :F is a commercial spray-CVD (Pilkington TEC-8) coating, and the rest deposited from different chemical baths: CdS (80 nm) at 333 K, SnS (450 nm) and CuS (80 nm) at 293-303 K. The structure may be heated in nitrogen at 573 K, before applying the silver print. The photovoltaic behavior of the structure varies with heating: V oc ∼ 400 mV and J sc 2 , when heated at 423 K in air, but V oc decreases and J sc increases when heated at higher temperatures. These photovoltaic structures have been found to be stable over a period extending over one year by now. The overall cost of materials, simplicity of the deposition process, and possibility of easily varying the parameters to improve the cell characteristics inspire further work. Here we report two different baths for the deposition of SnS thin films of about 500 nm by chemical deposition. There is a considerable difference in the nature of growth, crystalline structure and chemical stability of these films under air-heating at 623-823 K or while heating SnS-CuS layers, evidenced in XRF and grazing incidence angle XRD studies. Heating of SnS-CuS films results in the formation of SnS-Cu x SnS y . 'All-chemically deposited photovoltaic structures' involving these materials are presented

  18. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique; Etude et realisation de detecteurs de rayonnements a base de films de diamant polycristallin elabores par depot chimique en phase vapeur assiste par plasma micro-onde

    Energy Technology Data Exchange (ETDEWEB)

    Jany, Ch

    1998-10-29

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead {eta} to decrease. In contrast, {eta} was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp{sup 2} phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  19. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  20. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    Energy Technology Data Exchange (ETDEWEB)

    Cauzid, J. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, BP 220, 38043 Grenoble Cedex (France)], E-mail: jean.cauzid@esrf.fr; Philippot, P. [Geobiosphere Actuelle et Primitive, Institut de Physique du Globe de Paris, CNRS and Universite Denis Diderot, Case 89, 4 place Jussieu, 75252 Paris Cedex 05 (France); Bleuet, P. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, BP 220, 38043 Grenoble Cedex (France); Simionovici, A. [Laboratoire de Geophysique Interne et Tectonophysique, BP 53, 38041 Grenoble Cedex 9 (France); Somogyi, A. [Synchrotron Soleil, DiffAbs beamline, Saint-Aubin, BP 48, 91192 Gif-sur-Yvette Cedex (France); Golosio, B. [Instituto di Matematica e Fisica, Universita di Sassari, 2 via Vienna, 07100 Sassari (Italy)

    2007-08-15

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  1. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    Science.gov (United States)

    Cauzid, J.; Philippot, P.; Bleuet, P.; Simionovici, A.; Somogyi, A.; Golosio, B.

    2007-08-01

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  2. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  3. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  4. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  5. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  6. Vapour pressures and enthalpies of vapourization of a series of the linear aliphatic nitriles

    International Nuclear Information System (INIS)

    Emel'yanenko, Vladimir N.; Verevkin, Sergey P.; Koutek, Bohumir; Doubsky, Jan

    2005-01-01

    Vapour pressures and the molar enthalpies of vapourization ΔlgHm-bar of the linear aliphatic nitriles C 7 -C 17 have been determined by the transpiration method. Kovat's indices of these compounds were measured by capillary gas-chromatography. A linear correlation of enthalpies of vapourization ΔlgHm-bar at T=298.15 K of the nitriles studied with the Kovats indices has been found

  7. Low Temperature Growth of In2O3and InN Nanocrystals on Si(111 via Chemical Vapour Deposition Based on the Sublimation of NH4Cl in In

    Directory of Open Access Journals (Sweden)

    Tsokkou Demetra

    2009-01-01

    Full Text Available Abstract Indium oxide (In2O3 nanocrystals (NCs have been obtained via atmospheric pressure, chemical vapour deposition (APCVD on Si(111 via the direct oxidation of In with Ar:10% O2at 1000 °C but also at temperatures as low as 500 °C by the sublimation of ammonium chloride (NH4Cl which is incorporated into the In under a gas flow of nitrogen (N2. Similarly InN NCs have also been obtained using sublimation of NH4Cl in a gas flow of NH3. During oxidation of In under a flow of O2the transfer of In into the gas stream is inhibited by the formation of In2O3around the In powder which breaks up only at high temperatures, i.e.T > 900 °C, thereby releasing In into the gas stream which can then react with O2leading to a high yield formation of isolated 500 nm In2O3octahedrons but also chains of these nanostructures. No such NCs were obtained by direct oxidation forT G < 900 °C. The incorporation of NH4Cl in the In leads to the sublimation of NH4Cl into NH3and HCl at around 338 °C which in turn produces an efficient dispersion and transfer of the whole In into the gas stream of N2where it reacts with HCl forming primarily InCl. The latter adsorbs onto the Si(111 where it reacts with H2O and O2leading to the formation of In2O3nanopyramids on Si(111. The rest of the InCl is carried downstream, where it solidifies at lower temperatures, and rapidly breaks down into metallic In upon exposure to H2O in the air. Upon carrying out the reaction of In with NH4Cl at 600 °C under NH3as opposed to N2, we obtain InN nanoparticles on Si(111 with an average diameter of 300 nm.

  8. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  9. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  10. Oxidation of volatile organic vapours in air by solid potassium permanganate.

    Science.gov (United States)

    Mahmoodlu, Mojtaba Ghareh; Hartog, Niels; Majid Hassanizadeh, S; Raoof, Amir

    2013-06-01

    Volatile organic compounds (VOCs) may frequently contaminate groundwater and pose threat to human health when migrating into the unsaturated soil zone and upward to the indoor air. The kinetic of chemical oxidation has been investigated widely for dissolved VOCs in the saturated zone. But, so far there have been few studies on the use of in situ chemical oxidation (ISCO) of vapour phase contaminants. In this study, batch experiments were carried out to evaluate the oxidation of trichloroethylene (TCE), ethanol, and toluene vapours by solid potassium permanganate. Results revealed that solid potassium permanganate is able to transform the vapour of these compounds into harmless oxidation products. The degradation rates for TCE and ethanol were higher than for toluene. The degradation process was modelled using a kinetic model, linear in the gas concentration of VOC [ML(-3)] and relative surface area of potassium permanganate grains (surface area of potassium permanganate divided by gas volume) [L(-1)]. The second-order reaction rate constants for TCE, ethanol, and toluene were found to be equal to 2.0×10(-6) cm s(-1), 1.7×10(-7) cm s(-1), and 7.0×10(-8) cm s(-1), respectively. Copyright © 2013 Elsevier Ltd. All rights reserved.

  11. FGM (Functionally Graded Material) Thermal Barrier Coatings for Hypersonic Structures - Design and Thermal Structural Analysis

    National Research Council Canada - National Science Library

    Ho, Sook-Ying; Kotousov, Andrei; Nguyen, Phuc; Harding, Steven; Codrington, John; Tsukamoto, Hideaki

    2007-01-01

    ...) and Sintering method showed promising results. This method is relatively undeveloped and under utilised compared to more expensive techniques such as chemical vapour deposition, physical vapour deposition, plasma spraying and powder metallurgy...

  12. Continuous measurements of isotopic composition of water vapour on the East Antarctic Plateau

    Directory of Open Access Journals (Sweden)

    M. Casado

    2016-07-01

    Full Text Available Water stable isotopes in central Antarctic ice cores are critical to quantify past temperature changes. Accurate temperature reconstructions require one to understand the processes controlling surface snow isotopic composition. Isotopic fractionation processes occurring in the atmosphere and controlling snowfall isotopic composition are well understood theoretically and implemented in atmospheric models. However, post-deposition processes are poorly documented and understood. To quantitatively interpret the isotopic composition of water archived in ice cores, it is thus essential to study the continuum between surface water vapour, precipitation, surface snow and buried snow. Here, we target the isotopic composition of water vapour at Concordia Station, where the oldest EPICA Dome C ice cores have been retrieved. While snowfall and surface snow sampling is routinely performed, accurate measurements of surface water vapour are challenging in such cold and dry conditions. New developments in infrared spectroscopy enable now the measurement of isotopic composition in water vapour traces. Two infrared spectrometers have been deployed at Concordia, allowing continuous, in situ measurements for 1 month in December 2014–January 2015. Comparison of the results from infrared spectroscopy with laboratory measurements of discrete samples trapped using cryogenic sampling validates the relevance of the method to measure isotopic composition in dry conditions. We observe very large diurnal cycles in isotopic composition well correlated with temperature diurnal cycles. Identification of different behaviours of isotopic composition in the water vapour associated with turbulent or stratified regime indicates a strong impact of meteorological processes in local vapour/snow interaction. Even if the vapour isotopic composition seems to be, at least part of the time, at equilibrium with the local snow, the slope of δD against δ18O prevents us from identifying

  13. Chemical solution deposition: a path towards low cost coated conductors

    International Nuclear Information System (INIS)

    Obradors, X; Puig, T; Pomar, A; Sandiumenge, F; Pinol, S; Mestres, N; Castano, O; Coll, M; Cavallaro, A; Palau, A; Gazquez, J; Gonzalez, J C; Gutierrez, J; Roma, N; Ricart, S; Moreto, J M; Rossell, M D; Tendeloo, G van

    2004-01-01

    The achievement of low cost deposition techniques for high critical current YBa 2 Cu 3 O 7 coated conductors is one of the major objectives to achieve a widespread use of superconductivity in power applications. Chemical solution deposition techniques are appearing as a very promising methodology to achieve epitaxial oxide thin films at a low cost, so an intense effort is being carried out to develop routes for all chemical coated conductor tapes. In this work recent achievements will be presented towards the goal of combining the deposition of different type of buffer layers on metallic substrates based on metal-organic decomposition with the growth of YBa 2 Cu 3 O 7 layers using the trifluoroacetate route. The influence of processing parameters on the microstructure and superconducting properties will be stressed. High critical currents are demonstrated in 'all chemical' multilayers

  14. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  15. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  16. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  17. Barrier properties to surrogates of hydrogenated carbon nano-films deposited on PET by plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Oliveira, Éder C; Echegoyen, Yolanda; Nerin, Cristina; Cruz, Sandra A

    2014-01-01

    Poly(ethylene terephthalate) resin was contaminated with a series of surrogates using a US Food and Drug Administration protocol. The contaminated samples were coated with two different kinds of hydrogenated amorphous carbon thin films (a-C:H): one with diamond-like hydrogenated amorphous carbon and another with polymer-like hydrogenated carbon (PLCH) phases. To evaluate the barrier properties of the a-C:H films, migration assays were performed using food simulants. After the tests, analysis by gas chromatography with different detectors was carried out. The appearance of the films before and after the migration experiments was studied by field emission scanning electron microscopy. The results showed that a-C:H films have good barrier properties for most of the evaluated compounds, mainly when they are deposited as PLCH phase.

  18. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  19. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  20. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  1. Modelling and analysis of CVD processes for ceramic membrane preparation

    NARCIS (Netherlands)

    Brinkman, H.W.; Cao, G.Z.; Meijerink, J.; de Vries, Karel Jan; Burggraaf, Anthonie

    1993-01-01

    A mathematical model is presented that describes the modified chemical vapour deposition (CVD) process (which takes place in advance of the electrochemical vapour deposition (EVD) process) to deposit ZrO2 inside porous media for the preparation and modification of ceramic membranes. The isobaric

  2. Chemical effect on ozone deposition over seawater

    Science.gov (United States)

    Surface layer resistance plays an important role in determining ozone deposition velocity over seawater. Recent studies suggest that surface layer resistance over sea-water is influenced by wind-speed and chemical interaction at the air-water interface. Here, we investigate the e...

  3. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  4. The Liquid Vapour Interface

    DEFF Research Database (Denmark)

    Als-Nielsen, Jens Aage

    1985-01-01

    In this short review we are concerned with the density variation across the liquid-vapour interface, i.e. from the bulk density of the liquid to the essentially zero density of the vapour phase. This density variation can in principle be determined from the deviation of the reflectivity from...

  5. Silver deposition on chemically treated carbon monolith

    Directory of Open Access Journals (Sweden)

    Jovanović Zoran M.

    2009-01-01

    Full Text Available Carbon monolith was treated with HNO3, KOH and H2O2. Effects of these treatments on the surface functional groups and on the amount of silver deposited on the CM surface were studied by temperature programmed desorption (TPD and atomic absorption spectrometry (AAS. As a result of chemical treatment there was an increase in the amount of surface oxygen complexes. The increase in the amount of silver deposit is proportional to the amount of surface groups that produce CO under decomposition. However, the high amount of CO groups, decomposing above 600°C, induces the smaller Ag crystallite size. Therefore, the high temperature CO evolving oxides are, most likely, the initial centers for Ag deposition.

  6. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  7. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  8. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  9. Effects of the oxygen fraction and substrate bias power on the electrical and optical properties of silicon oxide films by plasma enhanced chemical vapour deposition using TMOS/O2 gas

    International Nuclear Information System (INIS)

    Bang, S B; Chung, T H; Kim, Y; Kang, M S; Kim, J K

    2004-01-01

    Thin oxide films are deposited from tetramethoxysilane in an inductively coupled oxygen glow discharge supplied with radio frequency power. The chemical bonding states of deposited films are analysed by Fourier transform infrared spectroscopy. The deposition rate and optical properties are determined from spectroscopic ellipsometry. Capacitance-voltage measurements are performed in MOS capacitors to obtain the electrical properties of the deposited films. With these tools, the effects of the substrate bias power and the oxygen mole fraction in the gas on the properties of the film are investigated. The refractive index first decreases with an increase in the oxygen mole fraction, and then increases again, showing a behaviour opposite to that of the deposition rate. The deposition rate increases with increasing substrate bias power and then saturates, while the refractive index increases slightly with an increase in the substrate bias power. The fixed oxide charge density decreases with increasing oxygen fraction and with increasing substrate bias power, while the interface trap density increases with increasing oxygen fraction and with increasing substrate bias power

  10. Estimating chemical composition of atmospheric deposition fluxes from mineral insoluble particles deposition collected in the western Mediterranean region

    Directory of Open Access Journals (Sweden)

    Y. Fu

    2017-11-01

    Full Text Available In order to measure the mass flux of atmospheric insoluble deposition and to constrain regional models of dust simulation, a network of automatic deposition collectors (CARAGA has been installed throughout the western Mediterranean Basin. Weekly samples of the insoluble fraction of total atmospheric deposition were collected concurrently on filters at five sites including four on western Mediterranean islands (Frioul and Corsica, France; Mallorca, Spain; and Lampedusa, Italy and one in the southern French Alps (Le Casset, and a weighing and ignition protocol was applied in order to quantify their mineral fraction. Atmospheric deposition is both a strong source of nutrients and metals for marine ecosystems in this area. However, there are few data on trace-metal deposition in the literature, since their deposition measurement is difficult to perform. In order to obtain more information from CARAGA atmospheric deposition samples, this study aimed to test their relevance in estimating elemental fluxes in addition to total mass fluxes. The elemental chemical analysis of ashed CARAGA filter samples was based on an acid digestion and an elemental analysis by inductively coupled plasma atomic emission spectroscopy (ICP-AES and mass spectrometry (MS in a clean room. The sampling and analytical protocols were tested to determine the elemental composition for mineral dust tracers (Al, Ca, K, Mg and Ti, nutrients (P and Fe and trace metals (Cd, Co, Cr, Cu, Mn, Ni, V and Zn from simulated wet deposition of dust analogues and traffic soot. The relative mass loss by dissolution in wet deposition was lower than 1 % for Al and Fe, and reached 13 % for P due to its larger solubility in water. For trace metals, this loss represented less than 3 % of the total mass concentration, except for Zn, Cu and Mn for which it could reach 10 %, especially in traffic soot. The chemical contamination during analysis was negligible for all the elements except for Cd

  11. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  12. Characterization and development of diamond-like carbon coatings for storing ultracold neutrons

    CERN Document Server

    Grinten, M G D; Shiers, D; Baker, C A; Green, K; Harris, P G; Iaydjiev, P S; Ivanov, S N; Geltenbort, P

    1999-01-01

    In order to determine the suitability of diamond-like carbon (DLC) as a material for storing ultracold neutrons to use in neutron electric-dipole moment (EDM) experiments, a number of tests on DLC coatings have been performed. Thin DLC layers deposited on quartz and aluminium substrates by chemical vapour deposition have been characterised by neutron transmission, neutron reflectometry, electron microscopy and neutron and mercury storage and depolarisation lifetime measurements. Two types of DLC have been compared; DLC made by chemical vapour deposition from natural methane and DLC made by chemical vapour deposition from deuterated methane. With these samples we determined the density, hydrogen concentration and Fermi potential of the coatings. DLC coatings made from deuterated methane are now successfully being used in an experiment to measure the EDM of the neutron.

  13. Characterization and development of diamond-like carbon coatings for storing ultracold neutrons

    International Nuclear Information System (INIS)

    Grinten, M.G.D. van der; Pendlebury, J.M.; Shiers, D.; Baker, C.A.; Green, K.; Harris, P.G.; Iaydjiev, P.S.; Ivanov, S.N.; Geltenbort, P.

    1999-01-01

    In order to determine the suitability of diamond-like carbon (DLC) as a material for storing ultracold neutrons to use in neutron electric-dipole moment (EDM) experiments, a number of tests on DLC coatings have been performed. Thin DLC layers deposited on quartz and aluminium substrates by chemical vapour deposition have been characterised by neutron transmission, neutron reflectometry, electron microscopy and neutron and mercury storage and depolarisation lifetime measurements. Two types of DLC have been compared; DLC made by chemical vapour deposition from natural methane and DLC made by chemical vapour deposition from deuterated methane. With these samples we determined the density, hydrogen concentration and Fermi potential of the coatings. DLC coatings made from deuterated methane are now successfully being used in an experiment to measure the EDM of the neutron

  14. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  15. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  16. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  17. Vapour dynamics during magma-water interaction experiments: hydromagmatic origins of submarine volcaniclastic particles (limu o Pele)

    Science.gov (United States)

    Schipper, C. Ian; Sonder, Ingo; Schmid, Andrea; White, James D. L.; Dürig, Tobias; Zimanowski, Bernd; Büttner, Ralf

    2013-03-01

    Recent observations have shattered the long-held theory that deep-sea (>500 m) explosive eruptions are impossible; however, determining the dynamics of unobserved eruptions requires interpretation of the deposits they produce. For accurate interpretation to be possible, the relative abilities of explosive magmatic degassing and non-explosive magma-water interaction to produce characteristic submarine volcaniclastic particles such as `limu o Pele' (bubble wall shards of glass) must be established. We experimentally address this problem by pouring remelted basalt (1300 °C, anhydrous) into a transparent, water-filled reservoir, recording the interaction with a high-speed video camera and applying existing heat transfer models. We performed the experiments under moderate to high degrees of water subcooling (˜8 l of water at 58 and 3 °C), with ˜0.1 to 0.15 kg of melt poured at ˜10-2 kg s-1. Videos show the non-explosive, hydromagmatic blowing and bursting of isolated melt bubbles to form limu o Pele particles that are indistinguishable from those found in submarine volcaniclastic deposits. Pool boiling around growing melt bubbles progresses from metastable vapour film insulation, through vapour film retraction/collapse, to direct melt-water contact. These stages are linked to the evolution of melt-water heat transfer to verify the inverse relationship between vapour film stability and the degree of water subcooling. The direct contact stage in particular explains the extremely rapid quench rates determined from glass relaxation speedometry for natural limu. Since our experimentally produced limu is made entirely by the entrapping of ambient water in degassed basaltic melt, we argue that the presence of fast-quenched limu o Pele in natural deposits is not diagnostic of volatile-driven explosive eruptions. This must be taken into account if submarine eruption dynamics are to be accurately inferred from the deposits and particles they produce.

  18. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  19. The analysis of structural and electronic environments of silicon network in HWCVD deposited a-SiC:H films

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2007-01-01

    Hydrogenated amorphous silicon carbon alloys (a-SiC:H) films were deposited by hot wire chemical vapour deposition (HWCVD) using SiH 4 and C 2 H 2 as precursor gases. a-SiC:H films were characterized by Fourier Transform Infrared (FTIR) spectroscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Solid-state plasmon of Si network shifts from 19.2 to 20.5 eV by varying C 2 H 2 flow rate from 2 to 10 sccm. Incorporation of carbon content changes the valence band structure and s orbital is more dominant than sp and p orbital with carbon incorporation

  20. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  1. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  2. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  3. Ozone and water vapour in the austral polar stratospheric vortex and sub-vortex

    Directory of Open Access Journals (Sweden)

    E. Peet

    2004-12-01

    Full Text Available In-situ measurements of ozone and water vapour, in the Antarctic lower stratosphere, were made as part of the APE-GAIA mission in September and October 1999. The measurements show a distinct difference above and below the 415K isentrope. Above 415K, the chemically perturbed region of low ozone and water vapour is clearly evident. Below 415K, but still above the tropopause, no sharp meridional gradients in ozone and water vapour were observed. The observations are consistent with analyses of potential vorticity from the European Centre for Medium Range Weather Forecasting, which show smaller radial gradients at 380K than at 450K potential temperature. Ozone loss in the chemically perturbed region above 415K averages 5ppbv per day for mid-September to mid-October. Apparent ozone loss rates in the sub-vortex region are greater, at 7ppbv per day. The data support, therefore, the existence of a sub-vortex region in which meridional transport is more efficient than in the vortex above. The low ozone mixing ratios in the sub-vortex region may be due to in-situ chemical destruction of ozone or transport of ozone-poor air out of the bottom of the vortex. The aircraft data we use cannot distinguish between these two processes. Key words. Meteorology and atmospheric dynamics polar meteorology – Atmospheric composition and structure (middle atmosphere–composition and chemistry

  4. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  5. A chemically stable PVD multilayer encapsulation for lithium microbatteries

    International Nuclear Information System (INIS)

    Ribeiro, J F; Sousa, R; Cunha, D J; Vieira, E M F; Goncalves, L M; Silva, M M; Dupont, L

    2015-01-01

    A multilayer physical vapour deposition (PVD) thin-film encapsulation method for lithium microbatteries is presented. Lithium microbatteries with a lithium cobalt oxide (LiCoO 2 ) cathode, a lithium phosphorous oxynitride (LiPON) electrolyte and a metallic lithium anode are under development, using PVD deposition techniques. Metallic lithium film is still the most common anode on this battery technology; however, it presents a huge challenge in terms of material encapsulation (lithium reacts with almost any materials deposited on top and almost instantly begins oxidizing in contact with atmosphere). To prove the encapsulation concept and perform all the experiments, lithium films were deposited by thermal evaporation technique on top of a glass substrate, with previously patterned Al/Ti contacts. Three distinct materials, in a multilayer combination, were tested to prevent lithium from reacting with protection materials and atmosphere. These multilayer films were deposited by RF sputtering and were composed of lithium phosphorous oxide (LiPO), LiPON and silicon nitride (Si 3 N 4 ). To complete the long-term encapsulation after breaking the vacuum, an epoxy was applied on top of the PVD multilayer. In order to evaluate oxidation state of lithium films, the lithium resistance was measured in a four probe setup (cancelling wires/contact resistances) and resistivity calculated, considering physical dimensions. A lithium resistivity of 0.16 Ω μm was maintained for more than a week. This PVD multilayer exonerates the use of chemical vapour deposition (CVD), glove-box chambers and sample manipulation between them, significantly reducing the fabrication cost, since battery and its encapsulation are fabricated in the same PVD chamber. (paper)

  6. A chemically stable PVD multilayer encapsulation for lithium microbatteries

    Science.gov (United States)

    Ribeiro, J. F.; Sousa, R.; Cunha, D. J.; Vieira, E. M. F.; Silva, M. M.; Dupont, L.; Goncalves, L. M.

    2015-10-01

    A multilayer physical vapour deposition (PVD) thin-film encapsulation method for lithium microbatteries is presented. Lithium microbatteries with a lithium cobalt oxide (LiCoO2) cathode, a lithium phosphorous oxynitride (LiPON) electrolyte and a metallic lithium anode are under development, using PVD deposition techniques. Metallic lithium film is still the most common anode on this battery technology; however, it presents a huge challenge in terms of material encapsulation (lithium reacts with almost any materials deposited on top and almost instantly begins oxidizing in contact with atmosphere). To prove the encapsulation concept and perform all the experiments, lithium films were deposited by thermal evaporation technique on top of a glass substrate, with previously patterned Al/Ti contacts. Three distinct materials, in a multilayer combination, were tested to prevent lithium from reacting with protection materials and atmosphere. These multilayer films were deposited by RF sputtering and were composed of lithium phosphorous oxide (LiPO), LiPON and silicon nitride (Si3N4). To complete the long-term encapsulation after breaking the vacuum, an epoxy was applied on top of the PVD multilayer. In order to evaluate oxidation state of lithium films, the lithium resistance was measured in a four probe setup (cancelling wires/contact resistances) and resistivity calculated, considering physical dimensions. A lithium resistivity of 0.16 Ω μm was maintained for more than a week. This PVD multilayer exonerates the use of chemical vapour deposition (CVD), glove-box chambers and sample manipulation between them, significantly reducing the fabrication cost, since battery and its encapsulation are fabricated in the same PVD chamber.

  7. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  8. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  9. Structural, optical and electrical properties of chemically deposited ...

    Indian Academy of Sciences (India)

    Structural, optical and electrical properties of chemically deposited nonstoichiometric copper ... One of these compounds, CuInSe2, with its optical absorption .... is clear from SEM images that the number of grains goes on increasing with the ...

  10. Theoretical calculations of primary particle condensation for cadmium and caesium iodide vapours

    Energy Technology Data Exchange (ETDEWEB)

    Buckle, E.R. [Division of Metallurgy, School of Materials, The University, Mappin Street, Sheffield S1 3JD (United Kingdom); Bowsher, B.R. [Chemistry Division, Atomic Energy Establishment, Winfrith, Dorchester, Dorset (United Kingdom)

    1988-10-15

    A theoretical approach to modelling aerosol nucleation from the vapour phase has been developed by Buckle. In this theory, the condensing vapour species are assumed to be transported from an evaporating source across a one-dimensional stagnant boundary layer into an unreactive vapour-free atmosphere. A slip-flow model for interfacial energy and mass flow is combined with this stagnant boundary layer model to yield a set of parameters that uniquely characterise the evaporative flow process (i.e. pressure, source and sink temperatures, sink concentration, and the flux density of heat or mass from the source). To obtain the initial conditions for nucleation the vapour saturation ratio p/p deg is plotted against temperature and compared with the minimum saturation ratio defined by homogeneous nucleation theory. The co-education be represented by a nucleation threshold (or F) diagram. The mass and energy equations of the flow are solved by introducing the Becker-Doering formula for the nucleation rate, and the Stefan diffusion model for particle growth. This gives the rise and fall of supersaturation and the evolution of the particle size distribution along the flow coordinate. In the present studies, the applicability of the model has been tested by considering the condensation of caesium iodide and cadmium vapours under a wide variety of pre-mixed flow conditions of interest to PWR severe accident studies. The model has been used to predict the onset of nucleation and the particle size distribution for single vapour species. Preliminary studies have demonstrated that conditions exist whereby both heterogeneous and homogeneous nucleation can occur simultaneously. This process could account for experimental observations of chemically-different aerosols being formed under severe reactor accident conditions. (author)

  11. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  12. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  13. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  14. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  15. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  16. Range-energy relations and stopping powers of organic liquids and vapours for alpha particles

    International Nuclear Information System (INIS)

    Akhavan-Rezayat, A.; Palmer, R.B.J.

    1980-01-01

    Experimental range-energy relations are presented for alpha particles in methyl alcohol, propyl alcohol, dichloromethane, chloroform and carbon tetrachloride in both the liquid and vapour phases. Stopping power values for these materials and for oxygen gas over the energy range 1.0-8.0 MeV are also given. From these results stopping powers have been derived for the -CH 2 -group and for -Cl occurring in chemical combination in the liquid and vapour phases. The molecular stopping power in the vapour phase is shown to exceed that in the liquid phase by 2-6% below 2 MeV, reducing to negligible differences at about 5 MeV for the materials directly investigated and for the -Cl atom. No significant phase effect is observed for the -CH 2 -group, but it is noted that the uncertainties in the values of the derived stopping powers are much greater in this case. Comparison of the experimental molecular stopping powers with values calculated from elemental values using the Bragg additivity rule shows agreement for vapours but not for liquids. (author)

  17. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  18. Origin and chemical composition of evaporite deposits

    Science.gov (United States)

    Moore, George William

    1960-01-01

    A comparative study of marine evaporite deposits forming at the present time along the pacific coast of central Mexico and evaporite formations of Permian age in West Texas Basin was made in order to determine if the modern sediments provide a basis for understanding environmental conditions that existed during deposition of the older deposits. The field work was supplemented by investigations of artificial evaporite minerals precipitated in the laboratory and by study of the chemical composition of halite rock of different geologic ages. The environment of deposition of contemporaneous marine salt deposits in Mexico is acidic, is strongly reducing a few centimeters below the surface, and teems with microscopic life. Deposition of salt, unlike that of many other sediments, is not wholly a constructional phenomenon. Permanent deposits result only if a favorable balance exists between deposition in the dry season and dissolution in the wet season. Evaporite formations chosen for special study in the West Texas Basin are, in ascending order, the Castile, Salado, and Rustler formations, which have a combined thickness of 1200 meters. The Castile formation is largely composed of gypsum rock, the Salado, halite rock, and the Rustler, quartz and carbonate sandstone. The lower part of the Castile formation is bituminous and contains limestone laminae. The Castile and Rustler formations thicken to the south at the expense of salt of the intervening Salado formation. The clastic rocks of the Rustler formation are interpreted as the deposits of a series of barrier islands north of which halite rock of the Salado was deposited. The salt is believed to have formed in shallow water of uniform density that was mixed by the wind. Where water depth exceeded the depth of the wind mixing, density stratification developed, and gypsum was deposited. Dense water of high salinity below the density discontinuity was overlain by less dense, more normally saline water which was derived from

  19. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  20. Size dependent optical characteristics of chemically deposited

    Indian Academy of Sciences (India)

    Keywords. Thin film; ZnS; CBD method; optical properties. Abstract. ZnS thin films of different thicknesses were prepared by chemical bath deposition using thiourea and zinc acetate as S2- and Zn2+ source. The effect of film thickness on the optical and structural properties was studied. The optical absorption studies in the ...

  1. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  2. Deposition of plasmon gold–fluoropolymer nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Safonov, Alexey I., E-mail: safonov@itp.nsc.ru [Kutateladze Institute of Thermophysics SB RAS, Lavrentyev Ave. 1, 630090, Novosibirsk (Russian Federation); Sulyaeva, Veronica S. [Nikolaev Institute of Inorganic Chemistry SB RAS, Lavrentyev Ave. 3, 630090, Novosibirsk (Russian Federation); Timoshenko, Nikolay I.; Kubrak, Konstantin V.; Starinskiy, Sergey V. [Kutateladze Institute of Thermophysics SB RAS, Lavrentyev Ave. 1, 630090, Novosibirsk (Russian Federation)

    2016-12-01

    Degradation-resistant two-dimensional metal–fluoropolymer composites consisting of gold nanoparticles coated with a thin fluoropolymer film were deposited on a substrate by hot wire chemical vapour deposition (HWCVD) and ion sputtering. The morphology and optical properties of the obtained coatings were determined. The thickness of the thin fluoropolymer film was found to influence the position of the surface plasmon resonance peak. Numerical calculations of the optical properties of the deposited materials were performed using Mie theory and the finite-difference time-domain (FDTD) method. The calculation results are consistent with the experimental data. The study shows that the position of the resonance peak can be controlled by changing the surface concentration of particles and the thickness of the fluoropolymer coating. The protective coating was found to prevent the plasmonic properties of the nanoparticles from changing for several months. - Highlights: • The gold–fluoropolymer composites are obtained by a combination of GJD and HWCVD. • The optical properties of composites were determined by experiments and calculation. • The dependence of SPR position on filling, NPs size and FP thickness were analyzed. • The plasmonic properties of the Au NPs are saved in the fluoropolymer matrix.

  3. Consistent vapour-liquid equilibrium data containing lipids

    DEFF Research Database (Denmark)

    Cunico, Larissa; Ceriani, Roberta; Sarup, Bent

    Consistent physical and thermodynamic properties of pure components and their mixtures are important for process design, simulation, and optimization as well as design of chemical based products. In the case of lipids, it was observed a lack of experimental data for pure compounds and also...... for their mixtures in open literature, what makes necessary the development of reliable predictive models based on limited data. To contribute to the missing data, measurements of isobaric vapour-liquid equilibrium (VLE) data of three binary mixtures at two different pressures were performed at State University...

  4. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  5. Physical-chemical and technological aspects of the preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O by method of metal organic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Stejskal, J.; Nevriva, M.; Leitner, J.

    1995-01-01

    The method of metal organic vapour phase epitaxy (MO VPE) was used for preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O. The suitable chemical precursors (β-diketonates) on the literature data and of the own thermodynamic calculations were selected. The optimal thermodynamic data and thermodynamic stability of the prepared samples were determined

  6. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  7. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Silver-doped hydrogenated amorphous carbon (Ag-DLC) films were deposited on Si substrates using a hybrid plasma vapour deposition–plasma enhanced chemical vapour deposition (PVD–PECVD) process combining Ag target magnetron sputtering and PECVD in an Ar–CH4 plasma. Processing parameters (working ...

  8. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  9. Structure And Properties Of PVD Coatings Deposited On Cermets

    Directory of Open Access Journals (Sweden)

    Żukowska L.

    2015-06-01

    Full Text Available The main aim of the research is the investigation of the structure and properties of single-layer and gradient coatings of the type (Ti,AlN and Ti(C,N deposited by physical vapour deposition technology (PVD on the cermets substrate.

  10. Characterisation of Pristine and Recoated electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings on AISI M2 steel and WC-Co substrates

    International Nuclear Information System (INIS)

    Avelar-Batista, J.C.; Spain, E.; Housden, J.; Fuentes, G.G.; Rebole, R.; Rodriguez, R.; Montala, F.; Carreras, L.J.; Tate, T.J.

    2005-01-01

    This paper is focussed on the characterisation of electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings deposited on AISI M2 steel and hardmetal (K10) substrates in two different conditions: Pristine (i.e., coated) and Recoated (i.e., stripped and recoated). Analytical methods, including X-ray diffraction (XRD), scanning electron microscopy, scratch adhesion and pin-on-disc tests were used to evaluate several coating properties. XRD analyses indicated that both Pristine and Recoated coatings consisted of a mixture of hexagonal Cr 2 N and cubic CrN, regardless of substrate type. For the M2 steel substrate, only small differences were found in terms of coating phases, microstructure, adhesion, friction and wear coefficients between Pristine and Recoated. Recoated on WC-Co (K10) exhibited a less dense microstructure and significant inferior adhesion compared to Pristine on WC-Co (K10). The wear coefficient of Recoated on WC-Co was 100 times higher than those exhibited by all other specimens. The results obtained confirm that the stripping process did not adversely affect the Cr-N properties when this coating was deposited onto M2 steel substrates, but it is clear from the unsatisfactory tribological performance of Recoated on WC-Co that the stripping process is unsuitable for hardmetal substrates

  11. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  12. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  13. Polycyclic aromatic hydrocarbon (PAH) deposition to and exchange at the air-water interface of Luhu, an urban lake in Guangzhou, China

    International Nuclear Information System (INIS)

    Li Jun; Cheng Hairong; Zhang Gan; Qi Shihua; Li Xiangdong

    2009-01-01

    Urban lakes are vulnerable to the accumulation of semivolatile organic compounds, such as PAHs from wet and dry atmospheric deposition. Little was reported on the seasonal patterns of atmospheric deposition of PAHs under Asian monsoon climate. Bulk (dry + wet) particle deposition, air-water diffusion exchange, and vapour wet deposition of PAHs in a small urban lake in Guangzhou were estimated based on a year-round monitoring. The total PAH particle deposition fluxes observed were 0.44-3.46 μg m -2 day -1 . The mean air-water diffusive exchange flux was 20.7 μg m -2 day -1 . The vapour deposition fluxes of PAHs ranged 0.15-8.26 μg m -2 day -1 . Remarkable seasonal variations of particulate PAH deposition, air-water exchange fluxes and vapour wet deposition were influenced by seasonal changes in meteorological parameters. The deposition fluxes were predominantly controlled by the precipitation intensity in wet season whereas by atmospheric concentration in dry season. - The PAH deposition fluxes were predominantly controlled by the precipitation intensity in wet season whereas by atmospheric concentration in dry season

  14. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  15. Electrophoretically deposited multiwalled carbon nanotube based amperometric genosensor for E.coli detection

    International Nuclear Information System (INIS)

    Bhardwaj, Hema; Solanki, Shipra; Sumana, Gajjala

    2016-01-01

    This work reports on a sensitive and selective genosensor fabrication method for Escherichia coli ( E.coli) detection. The functionalized multiwalled carbon nanotubes (MWCNT) synthesized via chemical vapour deposition have been deposited electrophoretically onto indium tin oxide coated glass surface and have been utilized as matrices for the covalent immobilization of E.coli specific probe oligonucleotide that was identified from the 16s rRNA coding region of the E.coli genome. This fabricated functionalized MWCNT based platform sought to provide improved fundamental characteristics to electrode interface in terms of electro-active surface area and diffusion coefficient. Electrochemical cyclic voltammetry revealed that this genosensor exhibits a linear response to complementary DNA in the concentration range of 10 -7 to 10 -12 M with a detection limit of 1×10 -12 M. (paper)

  16. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  17. Thermodynamic approach to the conditions of chemical deposition of boron by contact with an inert substrate

    International Nuclear Information System (INIS)

    Thebault, J.; Naslain, R.; Hagenmuller, P.; Bernard, C.

    1978-01-01

    The optimum conditions for the synthesis of boron by chemical vapour deposition (CVD) from BCl 3 -H 2 or BBr 3 -H 2 mixtures onto an inert substrate (boron or boronized metals) have been studied by a thermodynamic approach. This approach, which postulates that states close to equilibrium are reached in the vicinity of the hot substrate, is based on the minimization of the total Gibbs free energy of the system. Between 1200 and 1900 K and under a total pressure of 1 atm, the hydrogen reduction of BCl 3 can lead to two types of by-products: BHCl 2 at all temperatures, and BCl 2 or BCl subhalides at high temperatures; BHCl 2 is the main product of the reduction at the lowest temperatures. The hydrogen reduction of BCl 3 is never complete for the conditions commonly used for the synthesis of boron. The amount of by-products and of BBr 3 which must be recycled can be minimized by utilizing BCl 3 -H 2 mixtures rich in hydrogen. The amount of boron deposited exhibits a maximum for a temperature close to 1700 K. Similar results have been obtained for BBr 3 . However, between 1000 and 1500 K and under a total pressure of 1 atm the amount of by-products (BHBr 2 and BBr 2 ) is smaller than in the case of BCl 3 . The boron yield from the reduction of BBr 3 is higher than that from BCl 3 and the percentage of boron halide which must be recycled is lower in the case of BBr 3 . Thus, BBr 3 appears to be a better source than BCl 3 for the CVD of boron. (Auth.)

  18. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  19. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  20. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  1. Determination of electroless deposition by chemical nickeling

    Directory of Open Access Journals (Sweden)

    M. Badida

    2013-07-01

    Full Text Available Increasing of technical level and reliability of machine products in compliance with the economical and ecological terms belongs to the main trends of the industrial development. During the utilisation of these products there arise their each other contacts and the interaction with the environment. That is the reason for their surface degradation by wear effect, corrosion and other influences. The chemical nickel-plating allows autocatalytic deposition of nickel from water solutions in the form of coherent, technically very profitable coating without usage of external source of electric current. The research was aimed at evaluating the surface changes after chemical nickel-plating at various changes of technological parameters.

  2. Surfactant assisted chemical vapour generation of silver for AAS and ICP-OES: a mechanistic study

    Czech Academy of Sciences Publication Activity Database

    Matoušek, Tomáš; Sturgeon, R. E.

    2003-01-01

    Roč. 18, č. 5 (2003), s. 487-494 ISSN 0267-9477 Institutional research plan: CEZ:AV0Z4031919 Keywords : vapour generation * ICP-OES * silver Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 3.200, year: 2003

  3. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  4. The role of magmas in the formation of hydrothermal ore deposits

    Science.gov (United States)

    Hedenquist, Jeffrey W.; Lowenstern, Jacob B.

    1994-01-01

    Magmatic fluids, both vapour and hypersaline liquid, are a primary source of many components in hydrothermal ore deposits formed in volcanic arcs. These components, including metals and their ligands, become concentrated in magmas in various ways from various sources, including subducted oceanic crust. Leaching of rocks also contributes components to the hydrothermal fluid—a process enhanced where acid magmatic vapours are absorbed by deeply circulating meteoric waters. Advances in understanding the hydrothermal systems that formed these ore deposits have come from the study of their active equivalents, represented at the surface by hot springs and volcanic fumaroles.

  5. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  6. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  7. Construction of conductive multilayer films of biogenic triangular gold nanoparticles and their application in chemical vapour sensing

    Science.gov (United States)

    Singh, Amit; Chaudhari, Minakshi; Sastry, Murali

    2006-05-01

    Metal nanoparticles are interesting building blocks for realizing films for a number of applications that include bio- and chemical sensing. To date, spherical metal nanoparticles have been used to generate functional electrical coatings. In this paper we demonstrate the synthesis of electrically conductive coatings using biologically prepared gold nanotriangles as the building blocks. The gold nanotriangles are prepared by the reduction of aqueous chloroaurate ions using an extract of the lemongrass plant (Cymbopogon flexuosus) which are thereafter assembled onto a variety of substrates by simple solution casting. The conductivity of the film shows a drastic fall upon mild heat treatment, leading to the formation of electrically conductive thin films of nanoparticles. We have also investigated the possibility of using the gold nanotriangle films in vapour sensing. A large fall in film resistance is observed upon exposure to polar molecules such as methanol, while little change occurs upon exposure to weakly polar molecules such as chloroform.

  8. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  9. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  10. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  11. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  12. Implementation of new integrated evaporation equipment for the preparation of 238U targets and improvement of the deposition process

    Science.gov (United States)

    Vanleeuw, D.; Lewis, D.; Moens, A.; Sibbens, G.; Wiss, T.

    2018-05-01

    Measurement of neutron cross section data is a core activity of the JRC-Directorate G for Nuclear Safety and Security in Geel. After a period of reduced activity and in line with a renewed interest for nuclear data required for GenIV reactors and waste minimization, the demand for high quality actinide targets increased. Physical vapour deposition by thermal evaporation is a key technique to prepare homogeneous thin actinide layers, but due to ageing effects the earlier in-house developed equipment can no longer provide the required quality. Because of a current lack of experience and human resources cooperation with private companies is required for the development of new deposition equipment directly integrated in a glove box. In this paper we describe the design, implementation and validation of the first commercial actinide evaporator in a glove box as well as the optimization of the deposition process. Highly enriched 238U3O8 was converted to 238UF4 powder and several deposition runs were performed on different substrates. The deposition parameters were varied and defined in order to guarantee physical and chemical stable homogeneous UF4 layers, even on polished substrates which was not longer feasible with the older equipment. The stability problem is discussed in view of the thin layer growth by physical vapour deposition and the influence of the deposition parameters on the layer quality. The deposits were characterized for the total mass by means of substitution weighing and for the areal density of 238U by means of alpha particle counting and thermal ionization mass spectrometry (TIMS). The quality of the layer was visually evaluated and by means of stereo microscopy and auto radiography.

  13. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  14. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  15. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  16. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  17. Investigating the antifungal activity of TiO{sub 2} nanoparticles deposited on branched carbon nanotube arrays

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Abdi, Y; Haghighi, N [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Haghighi, F [Department of Medical Mycology, School of Medical Sciences, Tarbiat Modares University, Tehran (Iran, Islamic Republic of); Mohajerzadeh, S, E-mail: y.abdi@ut.ac.ir [Thin Film Laboratory, ECE Department, University of Tehran, Tehran (Iran, Islamic Republic of)

    2011-06-22

    Branched carbon nanotube (CNT) arrays were synthesized by plasma-enhanced chemical vapour deposition on a silicon substrate. Ni was used as the catalyst and played an important role in the realization of branches in vertically aligned nanotubes. TiO{sub 2} nanoparticles on the branched CNTs were produced by atmospheric pressure chemical vapour deposition followed by a 500 {sup 0}C annealing step. Transmission and scanning electron microscopic techniques were used to study the morphology of the TiO{sub 2}/branched CNT structures while x-ray diffraction and Raman spectroscopy were used to verify the characteristics of the prepared nanostructures. Their antifungal effect on Candida albicans biofilms under visible light was investigated and compared with the activity of TiO{sub 2}/CNT arrays and thin films of TiO{sub 2}. The TiO{sub 2}/branched CNTs showed a highly improved photocatalytic antifungal activity in comparison with the TiO{sub 2}/CNTs and TiO{sub 2} film. The excellent visible light-induced photocatalytic antifungal activity of the TiO{sub 2}/branched CNTs was attributed to the generation of electron-hole pairs by visible light excitation with a low recombination rate, in addition to the high surface area provided for the interaction between the cells and the nanostructures. Scanning electron microscopy was used to observe the resulting morphological changes in the cell body of the biofilms existing on the antifungal samples.

  18. Review on properties, dispersion and toxicology of carbon nanotubes

    International Nuclear Information System (INIS)

    Saeed, K.

    2010-01-01

    Carbon nanotubes (CNTs) have the most intensely studied nano structures because of their unique properties. There are two types of carbon nanotubes CNTs, single-walled carbon nanotubes (SWNTs) and multi-walled carbon nanotubes (MWNTs), prepared by chemical-vapour deposition (CVD), plasma enhanced chemical-vapour deposition, thermal chemical vapour deposition, Vapour phase growth, Arc discharge and Lasser ablation. Both single-walled carbon nanotubes (SWNTs) and multi-walled carbon nanotubes (MWNTs) possess high mechanical and electrical conductivity, ultra-light weight, high aspect ratio and have excellent chemical and thermal stabilities. They also possess semi- and metallic-conductive properties depending upon their chirality. This review focuses on progress toward functionalization (not only dispersed nano tube but also dramatically improve their solubility), preparation and purification, composites and the toxicity of the carbon nanotubes (CNTs). The functional groups attached to carbon nanotubes (CNTs) should react with polymers and improve the mechanical properties of the nano composites. Carbon nanotubes (CNTs) has significant application in pharmaceutical field such as drug delivery and nano medicine, but the available literature also suggests that carbon nanotubes (CNTs) may have unusual toxicity and have more adverse effects than the same mass of nano size carbon and quartz. (author)

  19. [Qualitative Determination of Organic Vapour Using Violet and Visible Spectrum].

    Science.gov (United States)

    Jiang, Bo; Hu, Wen-zhong; Liu, Chang-jian; Zheng, Wei; Qi, Xiao-hui; Jiang, Ai-li; Wang, Yan-ying

    2015-12-01

    Vapours of organic matters were determined qualitatively employed with ultraviolet-visible absorption spectroscopy. Vapours of organic matters were detected using ultraviolet-visible spectrophotometer employing polyethylene film as medium, the ultraviolet and visible absorption spectra of vegetable oil vapours of soybean oil, sunflower seed oil, peanut oil, rapeseed oil, sesame oil, cotton seed oil, tung tree seed oil, and organic compound vapours of acetone, ethyl acetate, 95% ethanol, glacial acetic acid were obtained. Experimental results showed that spectra of the vegetable oil vapour and the organic compound vapour could be obtained commendably, since ultra violet and visible spectrum of polyethylene film could be deducted by spectrograph zero setting. Different kinds of vegetable oils could been distinguished commendably in the spectra since the λ(max), λ(min), number of absorption peak, position, inflection point in the ultra violet and visible spectra obtained from the vapours of the vegetable oils were all inconsistent, and the vapours of organic compounds were also determined perfectly. The method had a good reproducibility, the ultraviolet and visible absorption spectra of the vapours of sunflower seed oil in 10 times determination were absolutely the same. The experimental result indicated that polyethylene film as a kind of medium could be used for qualitative analysis of ultraviolet and visible absorption spectroscopy. The method for determination of the vapours of the vegetable oils and organic compounds had the peculiarities of fast speed analysis, well reproducibility, accuracy and reliability and low cost, and so on. Ultraviolet and visible absorption spectrum of organic vapour could provide feature information of material vapour and structural information of organic compound, and provide a novel test method for identifying vapour of compound and organic matter.

  20. Occupational mercury vapour poisoning with a respiratory failure, pneumomediastinum and severe quadriparesis

    Directory of Open Access Journals (Sweden)

    Jakub Smiechowicz

    2017-02-01

    Full Text Available Objectives: Despite restrictions, mercury continues to pose a health concern. Mercury has the ability to deposit in most parts of the body and can cause a wide range of unspecific symptoms leading to diagnostic mistakes. Methods and results: We report the case of severe mercury vapour poisoning after occupational exposure in a chloralkali plant worker that resulted in life-threatening respiratory failure, pneumomediastinum and quadriparesis. Conclusions: Prolonged mechanical ventilation and treatment with penicillamine and spironolactone was used with successful outcome.

  1. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev

    2012-09-12

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  2. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev; Patankar, Neelesh A.; Marston, Jeremy; Chan, Derek Y C; Thoroddsen, Sigurdur T

    2012-01-01

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  3. Chemical vapour deposition of graphene on Nk(111) and Co(0001) and intercalation with Au to study Dirac Cone Formation and Rashba splitting

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Barriga, J.; Vescovo, E.; Varykhalov, A.; Scholz, M.R.; Rader, O.; Marchenko, D.; Rybkin, A.

    2010-01-01

    We show in detail monitoring by photoelectron spectroscopy how graphene can be grown by chemical vapor deposition on the transition-metal surfaces Ni(111) and Co(0001) and intercalated by a monoatomic layer of Au. For both systems, a linear E(k) dispersion of massless Dirac fermions appears in the graphene {pi}-band in the vicinity of the Fermi energy. In order to study ferromagnetism and spin-orbit effects by spin- and angle-resolved photoelectron spectroscopy, the sample must be magnetized in remanence. To this end, a W(110) substrate is prepared, its cleanliness verified by photoemission from W(110) surface states and surface core levels, and epitaxial Ni(111) and Co(0001) thin films are grown on top. Spin-resolved photoemission from the {pi}-band shows that the ferromagnetic polarization of graphene/Ni(111) and graphene/Co(0001) is negligible and that graphene on Ni(111) is after intercalation of Au spin-orbit split by the Rashba effect.

  4. Roughness and compressive strength of FDM 3D printed specimens affected by acetone vapour treatment

    Science.gov (United States)

    Beniak, Juraj; Križan, Peter; Šooš, Ľubomír; Matúš, Miloš

    2018-01-01

    Rapid Prototyping technologies are the fastest growing technologies in the manufacturing of components and parts. There are many techniques which can be used with different materials and different purposes of produced part. Gradually, Rapid Prototyping systems have grown into Additive Manufacturing, because technology expansion brings faster production, improved manufactured components, and expanded palette of used materials. So now this techniques are also used for regular production of special parts, where is usual change of part design, where is necessary to produce variety of different designs and shapes. The following article deals with Fused Deposition Modelling (FDM) technology, the core of which is the manufacture models and components from thermoplastic polymers by deposition single fibres of semi-molten plastic material layer by layer. The article focuses on the results of research for testing of manufactured specimens by FDM technology. Components are modified by acetone vapour for surface smoothing. The purpose is to point out how the additional specimen treatment influence the strength properties. Presented paper shows realized experiments and measurements of compressive force on specimens and surface roughness which are influenced by acetone vapour treatment.

  5. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  6. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  7. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  8. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  9. Host thin films incorporating nanoparticles

    Science.gov (United States)

    Qureshi, Uzma

    The focus of this research project was the investigation of the functional properties of thin films that incorporate a secondary nanoparticulate phase. In particular to assess if the secondary nanoparticulate material enhanced a functional property of the coating on glass. In order to achieve this, new thin film deposition methods were developed, namely use of nanopowder precursors, an aerosol assisted transport technique and an aerosol into atmospheric pressure chemical vapour deposition system. Aerosol assisted chemical vapour deposition (AACVD) was used to deposit 8 series of thin films on glass. Five different nanoparticles silver, gold, ceria, tungsten oxide and zinc oxide were tested and shown to successfully deposit thin films incorporating nanoparticles within a host matrix. Silver nanoparticles were synthesised and doped within a titania film by AACVD. This improved solar control properties. A unique aerosol assisted chemical vapour deposition (AACVD) into atmospheric pressure chemical vapour deposition (APCVD) system was used to deposit films of Au nanoparticles and thin films of gold nanoparticles incorporated within a host titania matrix. Incorporation of high refractive index contrast metal oxide particles within a host film altered the film colour. The key goal was to test the potential of nanopowder forms and transfer the suspended nanopowder via an aerosol to a substrate in order to deposit a thin film. Discrete tungsten oxide nanoparticles or ceria nanoparticles within a titanium dioxide thin film enhanced the self-cleaning and photo-induced super-hydrophilicity. The nanopowder precursor study was extended by deposition of zinc oxide thin films incorporating Au nanoparticles and also ZnO films deposited from a ZnO nanopowder precursor. Incorporation of Au nanoparticles within a VO: host matrix improved the thermochromic response, optical and colour properties. Composite VC/TiC and Au nanoparticle/V02/Ti02 thin films displayed three useful

  10. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  11. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  12. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  13. An externally heated copper vapour laser

    International Nuclear Information System (INIS)

    Rochefort, P.A.; Sopchyshyn, F.C.; Selkirk, E.B.; Green, L.W.

    1993-08-01

    A pulsed Copper Vapour Laser (CVL), with a nominal 6 kHz repetition rate, was designed, build, and commissioned at Chalk River laboratories. The laser was required for Resonant Ionization Mass Spectroscopy (RIMS) experiments and for projects associated with Atomic Vapour laser Isotope Separation (AVLIS) studies. For the laser to operate, copper coupons position along the length of a ceramic tube must be heated sufficiently to create an appropriate vapour pressure. The AECL CVL uses an external heater element with a unique design to raise the temperature of the tube. The Cylindrical graphite heating element is shaped to compensate for the large radiation end losses of the laser tube. The use of an external heater saves the expensive high-current-voltage switching device from heating the laser tube, as in most commercial lasers. This feature is especially important given the intermittent usage typical of experimental research. As well, the heater enables better parametric control of the laser output when studying the lasing of copper (or other) vapour. This report outlines the lasing process in copper vapour, describes in detail all three major laser sub-systems: the laser body; the laser tube heater; the high voltage pulsed discharge; and, reports parametric measurements of the individual sub-systems and the laser system as a whole. Also included are normal operating procedures to heat up, run and shut down the laser

  14. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  15. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  16. A simple experimental arrangement for measuring the vapour pressures and sublimation enthalpies by the Knudsen effusion method: Application to DNA and RNA bases

    International Nuclear Information System (INIS)

    Barros, A.L.F. de; Medina, A.; Zappa, F.; Pereira, J.M.; Bessa, E.; Martins, M.H.P.; Coelho, L.F.S.; Wolff, W.; Castro Faria, N.V. de

    2006-01-01

    We measured the vapour pressure of several DNA and RNA bases-uracil, adenine, guanine, thymine and cytosine-in the 300-450 K range. In each case the sample mass loss rate was measured as function of temperature with a simple setup consisting of a commercial film deposition system and a homemade oven. Afterwards vapour pressure values were extracted from these data using the Knudsen effusion method. Sublimation enthalpy values, obtained from vapour pressure data by applying the Clausius-Clapeyron equation, are in very good agreement with literature values. The results suggest that crystal-based film thickness monitors may be useful in on-line cross-section measurements, monitoring the gas target thickness. They also show the viability of using this oven for producing a biomolecular gas target

  17. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  18. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  19. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  20. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  1. Pressure-temperature-fluid constraints for the Emmaville-Torrington emerald deposit, New South Wales, Australia: Fluid inclusion and stable isotope studies

    Science.gov (United States)

    Loughrey, Lara; Marshall, Dan; Jones, Peter; Millsteed, Paul; Main, Arthur

    2012-06-01

    The Emmaville-Torrington emeralds were first discovered in 1890 in quartz veins hosted within a Permian metasedimentary sequence, consisting of meta-siltstones, slates and quartzites intruded by pegmatite and aplite veins from the Moule Granite. The emerald deposit genesis is consistent with a typical granite-related emerald vein system. Emeralds from these veins display colour zonation alternating between emerald and clear beryl. Two fluid inclusion types are identified: three-phase (brine+vapour+halite) and two-phase (vapour+liquid) fluid inclusions. Fluid inclusion studies indicate the emeralds were precipitated from saline fluids ranging from approximately 33 mass percent NaCl equivalent. Formational pressures and temperatures of 350 to 400 °C and approximately 150 to 250 bars were derived from fluid inclusion and petrographic studies that also indicate emerald and beryl precipitation respectively from the liquid and vapour portions of a two-phase (boiling) system. The distinct colour zonations observed in the emerald from these deposits is the first recorded emerald locality which shows evidence of colour variation as a function of boiling. The primary three-phase and primary two-phase FITs are consistent with alternating chromium-rich `striped' colour banding. Alternating emerald zones with colourless beryl are due to chromium and vanadium partitioning in the liquid portion of the boiling system. The chemical variations observed at Emmaville-Torrington are similar to other colour zoned emeralds from other localities worldwide likely precipitated from a boiling system as well.

  2. Carbon structures formation in low current high voltage electrical discharge in hydrocarbon vapours

    International Nuclear Information System (INIS)

    Sobczyk, A T; Jaworek, A

    2011-01-01

    The properties of carbon fibers and other carbon structures produced from hydrocarbon vapours decomposed in electrically generated plasma at atmospheric pressure are studied in this paper. The electrical discharge was generated between a stainless steel needle and a plate made of nickel alloy. The carbon fiber has grown at the tip of the needle electrode, while other microflower-like deposits were built at the plate. The physical properties of carbon fibers were investigated by SEM, Raman spectroscopy, XRD, and EDS methods.

  3. Vapour pressure of trideuterioammonia

    Energy Technology Data Exchange (ETDEWEB)

    Calado, J.C.G.; Lopes, J.N.C.; Rebelo, L.P.N. (Instituto Superior Tecnico, Lisbon (Portugal). Centro de Quimica Estrutural)

    1992-09-01

    The H-to-D vapour-pressure isotope effect in liquid ammonia has been measured at 62 temperatures between 228 K and 260 K. The vapour pressures, corrected to 100 per cent nuclidic purity, have been fitted to the equation: T ln r = A+B/T+CT, where r is the vapour-pressure ratio p(NH[sub 3])/p(ND[sub 3]). The fit yielded the parameters: A = -8.22508 K, B = 12338.2 K[sup 2], and C = -0.05544. Comparisons with the results of other authors were made in order to clarify some discrepancies found in the literature. Our values are in accord with the previous results of King et al. and an extrapolation of the fitted equation down to the triple-point temperature gave good agreement with the published results. The fitted equation was used in conjunction with the Clapeyron equation to calculate the difference in the molar enthalpies of vaporization between NH[sub 3] and ND[sub 3]. At T = 230 K that difference is -846 J.mol[sup -1] decreasing to -747 J.mol[sup -1] at 260 K. (author).

  4. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  5. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  6. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  7. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  8. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    International Nuclear Information System (INIS)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S.

    2013-01-01

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium

  9. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    Energy Technology Data Exchange (ETDEWEB)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S., E-mail: svelu@igcar.gov.in

    2013-06-15

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium.

  10. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  11. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  12. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  13. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  14. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  15. Polymer-based nucleation for chemical vapour deposition of diamond

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Kromka, Alexander; Varga, Marián

    2016-01-01

    Roč. 133, č. 29 (2016), 1-7, č. článku 43688. ISSN 0021-8995 R&D Projects: GA ČR GC15-22102J Institutional support: RVO:68378271 Keywords : copolymers * composites * diamond * nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.860, year: 2016

  16. Morphology of silicon carbide formed by chemical vapour deposition

    International Nuclear Information System (INIS)

    Pampuch, R.; Stobierski, L.

    1977-01-01

    Silicon carbide polycrystalline layers and particulate crystals were obtained in a modified van Arkel-de Boer apparatus on SiC-covered graphite and molybdenum susceptors. Gaseous SiCl 4 + CCl 4 + H 2 reactive mixtures with Si/C mole ratios varying between 0.9 and 1.4 and with Cl/Cl + H ratios varying between 2.10 -5 and 1.10 -3 were used. The morphology and structure of SiC products obtained at temperatures between 1400 and 1900 0 C and input gas flow rates between 5.10 -4 and 6.10 -2 moles per hour have been systematically investigated by scanning electronmicrographs, X-rays (using the Laue, Weissenberg, and rotation photographers), IR-spectra, and under the polarising microscope. The ranges of temperature and input gas flow rates in which the given habits and forms of the SiC products are formed have been assessed. The habits of the particulate crystals as function of temperature have been interpreted in terms of the existing theories of heterogeneous nucleation assuming layer growth of the crystals by two-dimensional nucleation. The influence of the composition of the gaseous mixtures upon the formation of the 2H polytype as well as the probable reasons for the common occurrence of stacking fault twins in the 3C polytype have been discussed. (author)

  17. Chemical vapour deposition of vanadium oxide thermochromic thin films

    OpenAIRE

    Piccirillo, Clara

    2012-01-01

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thi...

  18. Characterization of aqueous interactions of copper-doped phosphate-based glasses by vapour sorption.

    Science.gov (United States)

    Stähli, Christoph; Shah Mohammadi, Maziar; Waters, Kristian E; Nazhat, Showan N

    2014-07-01

    Owing to their adjustable dissolution properties, phosphate-based glasses (PGs) are promising materials for the controlled release of bioinorganics, such as copper ions. This study describes a vapour sorption method that allowed for the investigation of the kinetics and mechanisms of aqueous interactions of PGs of the formulation 50P2O5-30CaO-(20-x)Na2O-xCuO (x=0, 1, 5 and 10mol.%). Initial characterization was performed using (31)P magic angle spinning nuclear magnetic resonance and attenuated total reflectance-Fourier transform infrared spectroscopy. Increasing CuO content resulted in chemical shifts of the predominant Q(2) NMR peak and of the (POP)as and (PO(-)) Fourier transform infrared absorptions, owing to the higher strength of the POCu bond compared to PONa. Vapour sorption and desorption were gravimetrically measured in PG powders exposed to variable relative humidity (RH). Sorption was negligible below 70% RH and increased exponentially with RH from 70 to 90%, where it exhibited a negative correlation with CuO content. Vapour sorption in 0% and 1% CuO glasses resulted in phosphate chain hydration and hydrolysis, as evidenced by protonated Q(0)(1H) and Q(1)(1H) species. Dissolution rates in deionized water showed a linear correlation (R(2)>0.99) with vapour sorption. Furthermore, cation release rates could be predicted based on dissolution rates and PG composition. The release of orthophosphate and short polyphosphate species corroborates the action of hydrolysis and was correlated with pH changes. In conclusion, the agreement between vapour sorption and routine characterization techniques in water demonstrates the potential of this method for the study of PG aqueous reactions. Copyright © 2014 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  19. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  20. Factors determining properties of multi-walled carbon nanotubes/fibres deposited by PECVD

    International Nuclear Information System (INIS)

    Bell, M S; Teo, K B K; Milne, W I

    2007-01-01

    This paper presents a number of factors which have been found to be important to the growth of carbon nanotubes and nanofibres by plasma enhanced chemical vapour deposition. The effect of the electric field in a plasma discharge on nanotube growth is investigated and shown to be important in achieving nanotube alignment. The use of a plasma discharge also enables deposition to take place at lower temperatures, facilitating the use of substrates which would otherwise be damaged. The effect of varying the ratio of carbon feedstock gas to etchant gas is investigated and the ratio is shown to be important for controlling the shape of deposited nanostructures. The effects of varying plasma power are investigated, showing that greater plasma power results in a lower growth rate. Higher levels of plasma power are also shown to cause the sidewalls of deposited carbon nanotubes to be etched. Finally, the growth rate of carbon nanotubes and nanofibres is shown to depend upon the strength of the local electric field. It is proposed that a higher field causes greater ionization within the plasma, which results in a higher growth rate. This is borne out by comparing simulation results with experimental observations

  1. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  2. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  3. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  4. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  5. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  6. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  7. Electrical Behaviour of Chitosan-Silver Nanocomposite in Presence of Water Vapour

    Directory of Open Access Journals (Sweden)

    Bal Yadav

    2017-04-01

    Full Text Available This paper presents the synthesis, characterization of the nanocomposite of silver and chitosan polymer composite reinforced by cellulose fibre and its electrical behaviour in presence of water vapour. The coated paper has been characterized by XRD, IR, SEM and EDX techniques. The size of silver nanoparticles is found to be around 9 nm and deposited uniformly. Chitosan, as well as cellulose, contain a hydrogen attached to electronegative nitrogen and oxygen. This gives a favourable environment for the formation of hydrogen bonds. IR peaks of the composite infer the intermolecular hydrogen bonding between the two constituents. The SEM pictures show that the coating of the fibres with nanoparticles is quite uniform. EDX analysis shows that the coated filter paper has sufficient amount of silver along with carbon and oxygen. The coated paper shows good sensitivity towards humidity. It gives excellent linearity in response with a concentration of water vapour after heat treatment of composite at 130 °C. The sensitivity of the sensor is 0.8 MΩ per unit of relative humidity. Sensing properties originate from protonic conductivity from adsorbed water molecule.

  8. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  9. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  10. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  11. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  12. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  13. Chemical aspects of fission product transport in the primary circuit of a light water reactor

    International Nuclear Information System (INIS)

    Bowsher, B.R.; Dickinson, S.; Nichols, A.L.; Ogden, J.S.; Potter, P.E.

    1985-01-01

    The transport and fission products in the primary circuit of a light water reactor are of fundamental importance in assessing the consequences of severe accidents. Recent experimental studies have concentrated upon the behaviour of simulant fission product species such as caesium iodide, caesium hydroxide and tellurium, in terms of their vapour deposition characteristics onto metals representative of primary circuit materials. An induction furnace has been used to generate high-density/structural materials aerosols for subsequent analysis, and similar equipment has been incorporated into a glove-box to study lightly-irradiated UO/sub 2/ clad in Zircaloy. Analytical techniques are being developed to assist in the identification of fission product chemical species released from the fuel at temperatures from 1000 to 2500 0 C. Matrix isolation-infrared spectroscopy has been used to identify species in the vapour phase, and specific data using this technique are reported

  14. Capacitive-discharge-pumped copper bromide vapour laser

    International Nuclear Information System (INIS)

    Sukhanov, V B; Fedorov, V F; Troitskii, V O; Gubarev, F A; Evtushenko, Gennadii S

    2007-01-01

    A copper bromide vapour laser pumped by a high-frequency capacitive discharge is developed. It is shown that, by using of a capacitive discharge, it is possible to built a sealed off metal halide vapour laser of a simple design allowing the addition of active impurities into the working medium. (letters)

  15. Bimodal gate-dielectric deposition for improved performance of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Pang Liang; Kim, Kyekyoon

    2012-01-01

    A bimodal deposition scheme combining radiofrequency magnetron sputtering and plasma enhanced chemical vapour deposition (PECVD) is proposed as a means for improving the performance of GaN-based metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs). High-density sputtered-SiO 2 is utilized to reduce the gate leakage current and enhance the breakdown voltage while low-density PECVD-SiO 2 is employed to buffer the sputtering damage and further increase the drain current by engineering the stress-induced-polarization. Thus-fabricated MOSHEMT exhibited a low leakage current of 4.21 × 10 -9 A mm -1 and high breakdown voltage of 634 V for a gate-drain distance of 6 µm, demonstrating the promise of bimodal-SiO 2 deposition scheme for the development of GaN-based MOSHEMTs for high-power application. (paper)

  16. Preparation and characterization of Bi2Sr2CaCu2O8+δ thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Kepa, Katarzyna; Hlásek, T.

    2013-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c-axis oriented Bi2Sr2Ca...

  17. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  18. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  19. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  20. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  1. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  2. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  3. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  4. ZnS nanoflakes deposition by modified chemical method

    International Nuclear Information System (INIS)

    Desai, Mangesh A.; Sartale, S. D.

    2014-01-01

    We report deposition of zinc sulfide nanoflakes on glass substrates by modified chemical method. The modified chemical method involves adsorption of zinc–thiourea complex on the substrate and its dissociation in presence of hydroxide ions to release sulfur ions from thiourea which react with zinc ions present in the complex to form zinc sulfide nanoflakes at room temperature. Influence of zinc salt and thiourea concentrations ratios on the morphology of the films was investigated by scanning electron microscope (SEM). The ratio of zinc and thiourea in the zinc–thiourea complex significantly affect the size of the zinc sulfide nanoflakes, especially width and density of the nanoflakes. The X-ray diffraction analysis exhibits polycrystalline nature of the zinc sulfide nanoflakes with hexagonal phase

  5. All-gas-phase synthesis of UiO-66 through modulated atomic layer deposition

    Science.gov (United States)

    Lausund, Kristian Blindheim; Nilsen, Ola

    2016-11-01

    Thin films of stable metal-organic frameworks (MOFs) such as UiO-66 have enormous application potential, for instance in microelectronics. However, all-gas-phase deposition techniques are currently not available for such MOFs. We here report on thin-film deposition of the thermally and chemically stable UiO-66 in an all-gas-phase process by the aid of atomic layer deposition (ALD). Sequential reactions of ZrCl4 and 1,4-benzenedicarboxylic acid produce amorphous organic-inorganic hybrid films that are subsequently crystallized to the UiO-66 structure by treatment in acetic acid vapour. We also introduce a new approach to control the stoichiometry between metal clusters and organic linkers by modulation of the ALD growth with additional acetic acid pulses. An all-gas-phase synthesis technique for UiO-66 could enable implementations in microelectronics that are not compatible with solvothermal synthesis. Since this technique is ALD-based, it could also give enhanced thickness control and the possibility to coat irregular substrates with high aspect ratios.

  6. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  7. Optical and electrical properties of ZrSe3 single crystals grown by chemical vapour transport technique

    International Nuclear Information System (INIS)

    Patel, Kaushik; Prajapati, Jagdish; Vaidya, Rajiv; Patel, S.G.

    2005-01-01

    Single crystals of the lamellar compound, ZrSe 3 , were grown by chemical vapour transport technique using iodine as a transporting agent. The grown crystals were characterized with the help of energy dispersive analysis by X-ray (EDAX), which gave confirmation about the stoichiometry. The optical band gap measurement of as grown crystals was carried out with the help of optical absorption spectra in the range 700-1450 nm. The indirect as well as direct band gap of ZrSe 3 were found to be 1.1 eV and 1.47 eV, respectively. The resistivity of the as grown crystals was measured using van der Pauw method. The Hall parameters of the grown crystals were determined at room temperature from Hall effect measurements. Electrical resistivity measurements were performed on this crystal in the temperature range 303-423 K. The crystals were found to exhibit semiconducting nature in this range. The activation energy and anisotropy measurements were carried out for this crystal. Pressure dependence of electrical resistance was studied using Bridgman opposed anvils set up up to 8 GPa. The semiconducting nature of ZrSe 3 single crystal was inferred from the graph of resistance vs pressure. The results obtained are discussed in detail. (author)

  8. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  9. Impact of acid atmospheric deposition on soils : quantification of chemical and hydrologic processes

    NARCIS (Netherlands)

    Grinsven, van J.J.M.

    1988-01-01

    Atmospheric deposition of SO x , NOx and NHx will cause major changes in the chemical composition of solutions in acid soils, which may affect the biological functions of the soil. This thesis deals with quantification of soil acidification by means of chemical

  10. Vapour pressure isotope effects in liquid hydrogen chloride

    Energy Technology Data Exchange (ETDEWEB)

    Lopes, J.N.C.; Calado, J.C.G. (Instituto Superior Tecnico, Lisbon (Portugal)); Jancso, Gabor (Hungarian Academy of Sciences, Budapest (Hungary). Central Research Inst. for Physics)

    1992-08-10

    The difference between the vapour pressures of HCl and DCl has been measured over the temperature range 170-203 K by a differential manometric technique in a precision cryostat. In this range the vapour pressure of HCl is higher than that of DCl by 3.2% at 170 K, decreasing to 0.9% at 200 K. The reduced partition function ratios f[sub l]/f[sub g] derived from the vapour pressure data can be described by the equation ln(f[sub l]/f[sub g]) = (3914.57[+-]10)/T[sup 2] - (17.730[+-]0.055)/T. The experimentally observed H-D vapour pressure isotope effect, together with the values on the [sup 35]Cl-[sup 37]Cl isotope effect available in the literature, is interpreted in the light of the statistical theory of isotope effects in condensed systems by using spectroscopic data of the vapour and liquid phases. The results indicate that the rotation in liquid hydrogen chloride is hindered. Temperature-dependent force constants for the hindered translational and rotational motions were invoked in order to obtain better agreement between the model calculation and experiment. (author).

  11. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  12. Networks of ultra-fine Ag nanocrystals in a Teflon AF (registered) matrix by vapour phase e-beam-assisted deposition

    International Nuclear Information System (INIS)

    Biswas, A; Bayer, I S; Marken, B; Pounds, T D; Norton, M G

    2007-01-01

    We have fabricated nanocomposite thin films comprising silver (Ag) nanoparticles dispersed in a Teflon AF (registered) polymer matrix using electron-beam-assisted physical vapour deposition. Four different Ag nanoparticle volume fillings (20%, 35%, 70% and 75%) were achieved by varying the relative metal-polymer evaporation rates with the formation of highly crystalline Ag nanoparticles regardless of the filling ratio. The present fabrication technique allowed full control over dispersion uniformity of nanoparticles in the polymer network. At 20% and 35% metal volume fillings, the nanocomposite film morphology consists of a uniformly dispersed assembly of equiaxed isolated Ag nanoparticles. At higher metal volume fractions the nanocomposite structures displayed two different and unique Ag nanoparticle arrangements within the polymer matrix. In particular, at 70% metal filling, the formation of irregularly shaped clusters of individually assembled nanocrystals was observed. At a slightly higher volume filling (75%), larger irregularly shaped Ag nanocrystals that appeared to be the result of coalescence and grain growth were observed. Finally, a composite theory developed by Tandon and Weng was used to estimate various elastic properties of the nanocomposite films. At high metal filling, the reinforcing effect of the Ag nanoparticles was reflected as approximately a sixfold increase in the elastic modulus compared to the virgin polymer film. Possible applications of such ultra-fine metal nanoparticles networks are discussed

  13. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  14. Medical cannabis use in Canada: vapourization and modes of delivery.

    Science.gov (United States)

    Shiplo, Samantha; Asbridge, Mark; Leatherdale, Scott T; Hammond, David

    2016-10-29

    The mode of medical cannabis delivery-whether cannabis is smoked, vapourized, or consumed orally-may have important implications for its therapeutic efficacy and health risks. However, there is very little evidence on current patterns of use among Canadian medical cannabis users, particularly with respect to modes of delivery. The current study examined modes of medical cannabis delivery following regulatory changes in 2014 governing how Canadians access medical cannabis. A total of 364 approved adult Canadian medical cannabis users completed an online cross-sectional survey between April and June 2015. The survey examined patterns of medical cannabis use, modes of delivery used, and reasons for use. Participants were recruited through a convenience sample from nine Health Canada licensed producers. Using a vapourizer was the most popular mode of delivery for medical cannabis (53 %), followed by smoking a joint (47 %). The main reason for using a vapourizer was to reduce negative health consequences associated with smoking. A majority of current vapourizer users reported using a portable vapourizer (67.2 %), followed by a stationary vapourizer (41.7 %), and an e-cigarette or vape pen (19.3 %). Current use of a vapourizer was associated with fewer respiratory symptoms (AOR = 1.28, 95 % CI 1.05-1.56, p = 0.01). The findings suggest an increase in the popularity of vapourizers as the primary mode of delivery among approved medical users. Using vapourizers has the potential to prevent some of the adverse respiratory health consequences associated with smoking and may serve as an effective harm reduction method. Monitoring implications of such current and future changes to medical cannabis regulations may be beneficial to policymakers.

  15. Preparation of hydrosol suspensions of elemental and core–shell nanoparticles by co-deposition with water vapour from the gas-phase in ultra-high vacuum conditions

    International Nuclear Information System (INIS)

    Binns, Chris; Prieto, Pilar; Baker, Stephen; Howes, Paul; Dondi, Ruggero; Burley, Glenn; Lari, Leonardo; Kröger, Roland; Pratt, Andrew; Aktas, Sitki; Mellon, John K.

    2012-01-01

    We report a new method to produce liquid suspensions of nanoparticles by co-deposition with water vapour from the gas-phase in ultra-high vacuum (UHV) conditions. The water is injected from outside the vacuum as a molecular beam onto a substrate maintained at 77 K and forms an ice layer with a UHV vapour pressure. Molecular dynamics simulations confirm that the nanoparticles are soft-landed close to the surface of the growing ice layer. We show that the un-agglomerated size distribution within the liquid is similar to the gas-phase size distribution and demonstrate that the inclusion of surfactants in the injected water prevents agglomeration. The method allows the flexibility and tight size control available with gas-phase production methods to be applied to making nanoparticle suspensions with any desired properties. This is important for practical applications, especially in medicine. We have extended the method to include core–shell nanoparticles, in which there is flexible control over the core size and shell thickness and free choice of the material in either. Here, we report the production of suspensions of Cu, Ag and Au elemental nanoparticles and Fe-Au and Fe-Fe-oxide core–shell nanoparticles with diameters in the range 5–15 nm. We demonstrate the power of the method in practical applications in the case of Fe-Fe-oxide nanoparticles, which have a specific absorption rate of an applied oscillating magnetic field that is significantly higher than available Fe-oxide nanoparticle suspensions and the highest yet reported. These will thus have a very high-performance in the treatment of tumours by magnetic nanoparticle hyperthermia.

  16. Beam-profile monitor using a sodium-vapour

    CERN Multimedia

    1972-01-01

    Beam-profile monitor using a sodium-vapour curtain at 45 degrees to the ISR beam in Ring I (sodium generator is in white cylinder just left of centre). Electrons produced by ionization of the sodium vapour give an image of the beam on a fluorescent screen that is observed by a TV camera (at upper right).

  17. Comparison of Hexane Vapour Permeation in Two Different Polymeric Membranes via an Innovative In-Line FID Detection Method.

    Czech Academy of Sciences Publication Activity Database

    Petrusová, Zuzana; Morávková, Lenka; Vejražka, Jiří; Vajglová, Zuzana; Jansen, J.C.; Izák, Pavel

    2017-01-01

    Roč. 31, č. 2 (2017), s. 145-160 ISSN 0352-9568. [International Congress of Chemical and Process Engineering CHISA 2016 /22./. Prague, 27.08.2016-31.08.2016] R&D Projects: GA MŠk(CZ) LD14094 Institutional support: RVO:67985858 Keywords : gas/vapour separation * low-density polyethylene * thin-film-composite membrane Subject RIV: CI - Industrial Chemistry, Chemical Engineering Impact factor: 0.923, year: 2016

  18. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  19. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  20. Characterization of nano-powder grown ultra-thin film p-CuO/n-Si hetero-junctions by employing vapour-liquid-solid method for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Sultana, Jenifar; Das, Anindita [Centre for Research in Nanoscience and Nanotechnology (CRNN), Kolkata 700098 (India); Das, Avishek [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India); Saha, Nayan Ranjan [Department of Polymer Science and Technology, University of Calcutta, Kolkata 700009 (India); Karmakar, Anupam [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India); Chattopadhyay, Sanatan, E-mail: scelc@caluniv.ac.in [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India)

    2016-08-01

    In this work, the CuO nano-powder has been synthesized by employing chemical bath deposition technique for its subsequent use to grow ultrathin film (20 nm) of p-CuO on n-Si substrate for the fabrication of p-CuO/n-Si hetero-junction diodes. The thin CuO film has been grown by employing vapour-liquid-solid method. The crystalline structure and chemical phase of the film are characterized by employing field-emission scanning electron microscopy and X-ray diffraction studies. Chemical stoichiometry of the film has been confirmed by using energy dispersive X-ray spectroscopy. The potential for photovoltaic applications of such films is investigated by measuring the junction current-voltage characteristics and by extracting the relevant parameters such as open circuit photo-generated voltage, short circuit current density, fill-factor and energy conversion efficiency. - Highlights: • Synthesis of CuO nano-powder by CBD method • Growth of ultra-thin film of CuO by employing VLS method for the first time • Physical and electrical characterization of such films for photovoltaic applications • Estimation of energy conversion efficiency of the p-CuO/n-Si p-n junction solar cell.

  1. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  2. Medical cannabis use in Canada: vapourization and modes of delivery

    Directory of Open Access Journals (Sweden)

    Samantha Shiplo

    2016-10-01

    Full Text Available Abstract Background The mode of medical cannabis delivery—whether cannabis is smoked, vapourized, or consumed orally—may have important implications for its therapeutic efficacy and health risks. However, there is very little evidence on current patterns of use among Canadian medical cannabis users, particularly with respect to modes of delivery. The current study examined modes of medical cannabis delivery following regulatory changes in 2014 governing how Canadians access medical cannabis. Methods A total of 364 approved adult Canadian medical cannabis users completed an online cross-sectional survey between April and June 2015. The survey examined patterns of medical cannabis use, modes of delivery used, and reasons for use. Participants were recruited through a convenience sample from nine Health Canada licensed producers. Results Using a vapourizer was the most popular mode of delivery for medical cannabis (53 %, followed by smoking a joint (47 %. The main reason for using a vapourizer was to reduce negative health consequences associated with smoking. A majority of current vapourizer users reported using a portable vapourizer (67.2 %, followed by a stationary vapourizer (41.7 %, and an e-cigarette or vape pen (19.3 %. Current use of a vapourizer was associated with fewer respiratory symptoms (AOR = 1.28, 95 % CI 1.05–1.56, p = 0.01. Conclusions The findings suggest an increase in the popularity of vapourizers as the primary mode of delivery among approved medical users. Using vapourizers has the potential to prevent some of the adverse respiratory health consequences associated with smoking and may serve as an effective harm reduction method. Monitoring implications of such current and future changes to medical cannabis regulations may be beneficial to policymakers.

  3. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  4. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  5. Deposition of copper coatings in a magnetron with liquid target

    Energy Technology Data Exchange (ETDEWEB)

    Tumarkin, A. V., E-mail: sanyahrustal@mail.ru; Kaziev, A. V.; Kolodko, D. V.; Pisarev, A. A.; Kharkov, M. M.; Khodachenko, G. V. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) (Russian Federation)

    2015-12-15

    Copper coatings were deposited on monocrystalline Si substrates using a magnetron discharge with a liquid cathode in the metal vapour plasma. During the deposition, the bias voltage in the range from 0 V to–400 V was applied to the substrate. The prepared films were investigated by a scanning electron microscope, and their adhesive properties were studied using a scratch tester. It was demonstrated that the adhesion of the deposited films strongly depends on the bias voltage and varies in a wide range.

  6. Ethanol vapour induced dilated cardiomyopathy in chick embryos

    International Nuclear Information System (INIS)

    Kamran, K.; Khan, M.Y.; Minhas, L.A.

    2013-01-01

    Objective: To study the effects of ethanol vapour inhalation on the heart chambers of chick embryo. Methods: The case-control study was conducted at the College of Physicians and Surgeons Pakistan regional centre in Islamabad from January to October 2007. Both experimental and control groups were divided into three sub-groups each, based on the day of the sacrifice. Each group was dissected on day 7, day 10 and day 22 or hatching whichever was earlier. The experimental sub-groups sacrificed on day 7, day 10 and on hatching, were exposed to ethanol vapours till day 6, 9 and 9 of incubation respectively. The diameter of all 4 chambers was measured in experimental hearts and compared with age-matched controls. SPSS 10 was used for statistical analysis. Results: Ethanol vapour exposure caused widening of all heart chambers in the experimental chick embryos sacrificed on day 7 and day 10 compared to the controls. The chambers of newly hatched chick hearts showed dilatation in all the chambers except the left ventricle. Conclusion: Ethanol vapour exposure during development affects the heart, resulting in the widening of all heart chambers. The exposure is as dangerous as drinking alcohol. Alcohol vapour exposure during development leads to progressive dilatation in different heart chambers, producing dilated cardiomyopathy. (author)

  7. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  8. Magnetism variations and susceptibility hysteresis at the metal-insulator phase transition temperature of VO2 in a composite film containing vanadium and tungsten oxides

    CSIR Research Space (South Africa)

    Akande, AA

    2015-02-01

    Full Text Available We report on the magnetic property of 0.67–WO3+0.33–VOx mixture film deposit on the corning glass substrate using the chemical sol–gel and atmospheric pressure chemical vapour deposition (APCVD) methods. The XRD and Raman spectroscopy confirm...

  9. No sodium in the vapour plumes of Enceladus.

    Science.gov (United States)

    Schneider, Nicholas M; Burger, Matthew H; Schaller, Emily L; Brown, Michael E; Johnson, Robert E; Kargel, Jeffrey S; Dougherty, Michele K; Achilleos, Nicholas A

    2009-06-25

    The discovery of water vapour and ice particles erupting from Saturn's moon Enceladus fuelled speculation that an internal ocean was the source. Alternatively, the source might be ice warmed, melted or crushed by tectonic motions. Sodium chloride (that is, salt) is expected to be present in a long-lived ocean in contact with a rocky core. Here we report a ground-based spectroscopic search for atomic sodium near Enceladus that places an upper limit on the mixing ratio in the vapour plumes orders of magnitude below the expected ocean salinity. The low sodium content of escaping vapour, together with the small fraction of salt-bearing particles, argues against a situation in which a near-surface geyser is fuelled by a salty ocean through cracks in the crust. The lack of observable sodium in the vapour is consistent with a wide variety of alternative eruption sources, including a deep ocean, a freshwater reservoir, or ice. The existing data may be insufficient to distinguish between these hypotheses.

  10. Investigation of CdS/InP heterojunction prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Rakovics, V.; Horvath, Zs.J.; Horvath, Zs.E.; Barsony, I.; Frigeri, C.; Besagni, T.

    2007-01-01

    CdS thin films have been deposited on InP and glass substrates using the chemical bath deposition technique. Baths containing CdSO 4 , thiourea, and NH 3 were used. The temperature of the deposition process was 65 C and the duration of deposition varied between 20 and 160 minutes. The properties of the CdS/InP heterojunction were investigated by TEM, EDS and X-ray diffraction. TEM pictures, EDS and X-ray rocking curves indicate the formation of a β-In 2 S 3 transition layer at the InP-CdS interface, which may reduce the lattice mismatch between InP and CdS. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Chemical Controls of Ozone Dry Deposition to the Sea Surface Microlayer

    Science.gov (United States)

    Carpenter, L.; Chance, R.; Tinel, L.; Saint, A.; Sherwen, T.; Loades, D.; Evans, M. J.; Boxhall, P.; Hamilton, J.; Stolle, C.; Wurl, O.; Ribas-Ribas, M.; Pereira, R.

    2017-12-01

    Oceanic dry deposition of atmospheric ozone (O3) is both the largest and most uncertain O3 depositional sink, and is widely acknowledged to be controlled largely by chemical reactions in the sea surface microlayer (SML) involving iodide (I-) and dissolved organic material (DOM). These reactions not only determine how quickly O3 can be removed from the atmosphere, but also result in emissions of trace gases including volatile organic compounds and may constitute a source of secondary organic aerosols to the marine atmosphere. Iodide concentrations at the sea surface vary by approximately an order of magnitude spatially, leading to more than fivefold variation in ozone deposition velocities (and volatile iodine fluxes). Sea-surface temperature is a reasonable predictor of [I-], however two recent parameterisations for surface I- differ by a factor of two at low latitudes. The nature and reactivity of marine DOM to O3 is almost completely unknown, although studies have suggested approximately equivalent chemical control of I- and DOM on ozone deposition. Here we present substantial new measurements of oceanic I- in both bulk seawater and the overlying SML, and show improved estimates of the global sea surface iodide distribution. We also present analyses of water-soluble DOM isolated from the SML and bulk seawater, and corresponding laboratory studies of ozone uptake to bulk and SML seawater, with the aim of characterizing the reactivity of O3 towards marine DOM.

  12. Evaluation of physical and chemical properties and their interactions in fat, oil, and grease (FOG) deposits.

    Science.gov (United States)

    Gross, Martin A; Jensen, Jeanette L; Gracz, Hanna S; Dancer, Jens; Keener, Kevin M

    2017-10-15

    Fat, oil and grease (FOG) blockages in sewer systems are a substantial problem in the United States. It has been estimated that over 50% of sewer overflows are a result of FOG blockages. In this work, a thorough laboratory study was undertaken to examine key variables that contribute to FOG deposit formation under controlled conditions. Physical and chemical properties and their interactions were evaluated and conditions that generated deposits that mimicked field FOG deposits were identified. It was found that 96 of the of 128 reaction conditions tested in the laboratory formed FOG deposits with similar physical and chemical characteristics as field FOG deposits. It was also found that FOG deposits can be created through fatty acid crystallization and not just saponification. Furthermore FOG deposits were found to be more complex than previously documented and contain free fatty acids, fatty acid metal salts, triacylglycerol's, diacylglycerol's and, monoacylglycerol's. Lastly it was found that FOG deposits that only contained saturated fatty acids were on average 2.1 times higher yield strength than deposits that contained unsaturated fatty acids. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  14. Prediction of vapour-liquid and vapour-liquid-liquid equilibria of nitrogen-hydrocarbon mixtures used in J-T refrigerators

    Science.gov (United States)

    Narayanan, Vineed; Venkatarathnam, G.

    2018-03-01

    Nitrogen-hydrocarbon mixtures are widely used as refrigerants in J-T refrigerators operating with mixtures, as well as in natural gas liquefiers. The Peng-Robinson equation of state has traditionally been used to simulate the above cryogenic process. Multi parameter Helmholtz energy equations are now preferred for determining the properties of natural gas. They have, however, been used only to predict vapour-liquid equilibria, and not vapour-liquid-liquid equilibria that can occur in mixtures used in cryogenic mixed refrigerant processes. In this paper the vapour-liquid equilibrium of binary mixtures of nitrogen-methane, nitrogen-ethane, nitrogen-propane, nitrogen-isobutane and three component mixtures of nitrogen-methane-ethane and nitrogen-methane-propane have been studied with the Peng-Robinson and the Helmholtz energy equations of state of NIST REFPROP and compared with experimental data available in the literature.

  15. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  16. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  17. Efficient quantification of water content in edible oils by headspace gas chromatography with vapour phase calibration.

    Science.gov (United States)

    Xie, Wei-Qi; Gong, Yi-Xian; Yu, Kong-Xian

    2018-06-01

    An automated and accurate headspace gas chromatographic (HS-GC) technique was investigated for rapidly quantifying water content in edible oils. In this method, multiple headspace extraction (MHE) procedures were used to analyse the integrated water content from the edible oil sample. A simple vapour phase calibration technique with an external vapour standard was used to calibrate both the water content in the gas phase and the total weight of water in edible oil sample. After that the water in edible oils can be quantified. The data showed that the relative standard deviation of the present HS-GC method in the precision test was less than 1.13%, the relative differences between the new method and a reference method (i.e. the oven-drying method) were no more than 1.62%. The present HS-GC method is automated, accurate, efficient, and can be a reliable tool for quantifying water content in edible oil related products and research. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  18. A novel, substrate independent three-step process for the growth of uniform ZnO nanorod arrays

    International Nuclear Information System (INIS)

    Byrne, D.; McGlynn, E.; Henry, M.O.; Kumar, K.; Hughes, G.

    2010-01-01

    We report a three-step deposition process for uniform arrays of ZnO nanorods, involving chemical bath deposition of aligned seed layers followed by nanorod nucleation sites and subsequent vapour phase transport growth of nanorods. This combines chemical bath deposition techniques, which enable substrate independent seeding and nucleation site generation with vapour phase transport growth of high crystalline and optical quality ZnO nanorod arrays. Our data indicate that the three-step process produces uniform nanorod arrays with narrow and rather monodisperse rod diameters (∼ 70 nm) across substrates of centimetre dimensions. X-ray photoelectron spectroscopy, scanning electron microscopy and X-ray diffraction were used to study the growth mechanism and characterise the nanostructures.

  19. Contribution to the liquid-vapour equilibrium of potassium and sodium mixtures

    International Nuclear Information System (INIS)

    Schreinlechner, I.; Schwarz, N.

    1975-10-01

    In this paper the phase diagram of the binary system potassium-sodium in the liquid-vapour range was calculated for different pressures and temperatures, assuming the two metals acting as ideal solution. The assumption was verified by experimental results. It is thus possible to calculate the separation factor for the rectification of potassium and to estimate the content of sodium in the vapour phase during experiments with vapourized potassium from the data of the vapour pressures of the pure metals. (author)

  20. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  1. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann; Stenchikov, Georgiy L.; Prakash, P. Jish; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-01-01

    ) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period

  2. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  3. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  4. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  5. UTLS water vapour from SCIAMACHY limb measurementsV3.01 (2002-2012).

    Science.gov (United States)

    Weigel, K; Rozanov, A; Azam, F; Bramstedt, K; Damadeo, R; Eichmann, K-U; Gebhardt, C; Hurst, D; Kraemer, M; Lossow, S; Read, W; Spelten, N; Stiller, G P; Walker, K A; Weber, M; Bovensmann, H; Burrows, J P

    2016-01-01

    The SCanning Imaging Absorption spectroMeter for Atmospheric CHartographY (SCIAMACHY) aboard the Envisat satellite provided measurements from August 2002 until April 2012. SCIAMACHY measured the scattered or direct sunlight using different observation geometries. The limb viewing geometry allows the retrieval of water vapour at about 10-25 km height from the near-infrared spectral range (1353-1410 nm). These data cover the upper troposphere and lower stratosphere (UTLS), a region in the atmosphere which is of special interest for a variety of dynamical and chemical processes as well as for the radiative forcing. Here, the latest data version of water vapour (V3.01) from SCIAMACHY limb measurements is presented and validated by comparisons with data sets from other satellite and in situ measurements. Considering retrieval tests and the results of these comparisons, the V3.01 data are reliable from about 11 to 23 km and the best results are found in the middle of the profiles between about 14 and 20 km. Above 20 km in the extra tropics V3.01 is drier than all other data sets. Additionally, for altitudes above about 19 km, the vertical resolution of the retrieved profile is not sufficient to resolve signals with a short vertical structure like the tape recorder. Below 14 km, SCIAMACHY water vapour V3.01 is wetter than most collocated data sets, but the high variability of water vapour in the troposphere complicates the comparison. For 14-20 km height, the expected errors from the retrieval and simulations and the mean differences to collocated data sets are usually smaller than 10 % when the resolution of the SCIAMACHY data is taken into account. In general, the temporal changes agree well with collocated data sets except for the Northern Hemisphere extratropical stratosphere, where larger differences are observed. This indicates a possible drift in V3.01 most probably caused by the incomplete treatment of volcanic aerosols in the retrieval. In all other regions a

  6. Calculation of vapour bubble growth on the lower generatrix of horizontal tubes

    International Nuclear Information System (INIS)

    Chajka, V.D.

    1987-01-01

    The known models of vapour bubble growth are compared with experimental data. Cinematographic study of vapour formation during water boiling was carried out with elements of horizontal tubes of copper 10, 16, 24, 34 and 70 mm in diameter under the pressure of 100 kPa and specific thermal loadings of 20 and 40 kW/m 2 . According to the experimental data the main volume of vapour phase is occupied by vapour bubbles from the lower part of the horizontal tube. Five stages of vapour bubble growth on the lower generatrix of the horizontal tube: nucleation, growth to the point of breaking off from nucleate centre, the breaking off from the nucleate centre, the tube surface flowing around during floating up, the breaking off from the tube surface, were singled out. The shape of vapour volume varied during the whole period of the bubble growth and it was mainly determined by the horizontal tube diameter. The change of vapour bubble radius in time is the function of the horizontal tube diameter. Comparison of the experimental data with the known models of vapour bubble growth has shown, that every stage of vapour bubble growth on the lower generatrix of the tube is determined by the complex of thermal and hydrodynamic conditions, the effect of which depends on the horizontal tube diameter

  7. Optical monitoring of surface processes relevant to thin film growth by chemical vapour deposition

    International Nuclear Information System (INIS)

    Simcock, Michael Neil

    2002-01-01

    This thesis reports on the investigation of the use of reflectance anisotropy spectroscopy (RAS) as an in-situ monitor for the preparation and oxidation of GaAs(100) c(4x4) surfaces using a CVD 2000 MOCVD reactor. These surfaces were oxidised using air. It was found that it was possible to follow surface degradation using RA transients at 2.6eV and 4eV. From this data it was possible to speculate on the nature of the surface oxidation process. A study was performed into the rate of surface degradation under different concentrations of air, it was found that the relation between the air concentration and the surface degradation was complicated but that the behaviour of the first third of the degradation approximated a first order behaviour. An estimation of the activation energy of the process was then made, and an assessment of the potential use of the glove-box for STM studies which is an integral part of the MOCVD equipment was also made. Following this, a description is given of the construction of an interferometer for monitoring thin film growth. An investigation is also described into two techniques designed to evaluate the changes in reflected intensity as measured by an interferometer. The first technique uses an iteration procedure to determine the film thickness from the reflection data. This is done using a Taylor series expansion of the thin film reflection function to iterate for the thickness. Problems were found with the iteration when applied to noisy data, these were solved by using a least squares fit to smooth the data. Problems were also found with the iteration at the turning points these were solved using the derivative of the function and by anticipating the position of the turning points. The second procedure uses the virtual interface method to determine the optical constants of the topmost deposited material, the virtual substrate, and the growth rate. This method is applied by using a Taylor series expansion of the thin film reflection

  8. Exploring atomic defects in molybdenum disulphide monolayers

    KAUST Repository

    Hong, Jinhua; Hu, Zhixin; Probert, Matt; Li, Kun; Lv, Danhui; Yang, Xinan; Gu, Lin; Mao, Nannan; Feng, Qingliang; Xie, Liming; Zhang, Jin; Wu, Dianzhong; Zhang, Zhiyong; Jin, Chuanhong; Ji, Wei; Zhang, Xixiang; Yuan, Jun; Zhang, Ze

    2015-01-01

    Defects usually play an important role in tailoring various properties of two-dimensional materials. Defects in two-dimensional monolayer molybdenum disulphide may be responsible for large variation of electric and optical properties. Here we present a comprehensive joint experiment-theory investigation of point defects in monolayer molybdenum disulphide prepared by mechanical exfoliation, physical and chemical vapour deposition. Defect species are systematically identified and their concentrations determined by aberration-corrected scanning transmission electron microscopy, and also studied by ab-initio calculation. Defect density up to 3.5 × 10 13 cm '2 is found and the dominant category of defects changes from sulphur vacancy in mechanical exfoliation and chemical vapour deposition samples to molybdenum antisite in physical vapour deposition samples. Influence of defects on electronic structure and charge-carrier mobility are predicted by calculation and observed by electric transport measurement. In light of these results, the growth of ultra-high-quality monolayer molybdenum disulphide appears a primary task for the community pursuing high-performance electronic devices.

  9. Exploring atomic defects in molybdenum disulphide monolayers

    KAUST Repository

    Hong, Jinhua

    2015-02-19

    Defects usually play an important role in tailoring various properties of two-dimensional materials. Defects in two-dimensional monolayer molybdenum disulphide may be responsible for large variation of electric and optical properties. Here we present a comprehensive joint experiment-theory investigation of point defects in monolayer molybdenum disulphide prepared by mechanical exfoliation, physical and chemical vapour deposition. Defect species are systematically identified and their concentrations determined by aberration-corrected scanning transmission electron microscopy, and also studied by ab-initio calculation. Defect density up to 3.5 × 10 13 cm \\'2 is found and the dominant category of defects changes from sulphur vacancy in mechanical exfoliation and chemical vapour deposition samples to molybdenum antisite in physical vapour deposition samples. Influence of defects on electronic structure and charge-carrier mobility are predicted by calculation and observed by electric transport measurement. In light of these results, the growth of ultra-high-quality monolayer molybdenum disulphide appears a primary task for the community pursuing high-performance electronic devices.

  10. Chemical bath deposited PbS thin films on ZnO nanowires for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Gertman, Ronen [Dept of Chemistry, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Osherov, Anna; Golan, Yuval [Dept of Materials Engineering, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Visoly-Fisher, Iris, E-mail: irisvf@bgu.ac.il [Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Department of Solar Energy and Environmental Physics, Swiss Institute for Dryland Environmental and Energy Research, Jacob Blaustein Institutes for Desert Research, Ben Gurion University of the Negev, Sede Boqer Campus 84990 (Israel)

    2014-01-01

    Photovoltaic devices usually exploit mid-range band-gap semiconductors which absorb in the visible range of the solar spectrum. However, much energy is lost in the IR and near-IR range. We combined the advantages of small band-gap, bulk-like PbS deposited by facile, cheap and direct chemical bath deposition (CBD), with the good electronic properties of ZnO and the large surface area of nanowires, towards low cost photovoltaic devices utilizing IR and near-IR light. Surprisingly, CBD of PbS on ZnO, and particularly on ZnO nanowires, was not studied hitherto. Therefore, the mechanism of PbS growth by chemical bath deposition on ZnO nanowires was studied in details. A visible proof is shown for a growth mechanism starting from amorphous Pb(OH){sub 2} layer, that evolved into the ‘ion-by-ion’ growth mechanism. The growth mechanism and the resulting morphology at low temperatures were controlled by the thiourea concentration. The grain size affected the magnitude of the band-gap and was controlled by the deposition temperatures. Deposition above 40 °C resulted in bulk-like PbS with an optical band-gap of 0.4 eV. Methods were demonstrated for achieving complete PbS coverage of the complex ZnO NW architecture, a crucial requirement in optoelectronic devices to prevent shorts. Measurements of photocurrents under white and near-IR (784 nm) illumination showed that despite a 200 meV barrier for electron transfer at the PbS/ZnO interface, extraction of photo-electrons from PbS to the ZnO was feasible. The ability to harvest electrons from a narrow band-gap semiconductor deposited on a large surface-area electrode can advance the field towards high efficiency, low cost IR and near-IR sensors and third generation solar cells. - Highlights: • PbS was deposited on ZnO nanowires using chemical bath deposition. • At 50 °C the growth mechanism starts from an amorphous Pb(OH){sub 2} layer. • At 5 °C the growth mechanism of PbS can be controlled by thiourea concentrations

  11. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  12. Alcohol vapour detection at the three phase interface using enzyme-conducting polymer composites.

    Science.gov (United States)

    Winther-Jensen, Orawan; Kerr, Robert; Winther-Jensen, Bjorn

    2014-02-15

    Immobilisation of enzymes on a breathable electrode can be useful for various applications where the three-phase interface between gas or chemical vapour, electrolyte and electrode is crucial for the reaction. In this paper, we report the further development of the breathable electrode concept by immobilisation of alcohol dehydrogenase into vapour-phase polymerised poly(3,4-ethylene dioxythiophene) that has been coated onto a breathable membrane. Typical alcohol sensing, whereby the coenzyme β-Nicotinamide adenine dinucleotide (NADH) is employed as a redox-mediator, was successfully used as a model reaction for the oxidation of ethanol. This indicates that the ethanol vapour from the backside of the membrane has access to the active enzyme embedded in the electrode. The detecting range of the sensor is suitable for the detection of ethanol in fruit juices and for the baseline breath ethanol concentration of drunken driving. After continuous operation for 4.5h the system only showed a 20% decrease in the current output. The electrodes maintained 62% in current output after being refrigerated for 76 days. This work is continuing the progress of the immobilisation of specific enzymes for certain electrochemical reactions whereby the three-phase interface has to be maintained and/or the simultaneous separation of gas from liquid is required. © 2013 Elsevier B.V. All rights reserved.

  13. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  14. Ethanol vapour sensing properties of screen printed WO 3 thick films

    Indian Academy of Sciences (India)

    The ethanol vapour sensing properties of these thick films were investigated at different operating temperatures and ethanol vapour concentrations. The WO3 thick films exhibit excellent ethanol vapour sensing properties with a maximum sensitivity of ∼1424.6% at 400°C in air atmosphere with fast response and recovery ...

  15. Intercomparison of TCCON and MUSICA Water Vapour Products

    Science.gov (United States)

    Weaver, D.; Strong, K.; Deutscher, N. M.; Schneider, M.; Blumenstock, T.; Robinson, J.; Notholt, J.; Sherlock, V.; Griffith, D. W. T.; Barthlott, S.; García, O. E.; Smale, D.; Palm, M.; Jones, N. B.; Hase, F.; Kivi, R.; Ramos, Y. G.; Yoshimura, K.; Sepúlveda, E.; Gómez-Peláez, Á. J.; Gisi, M.; Kohlhepp, R.; Warneke, T.; Dohe, S.; Wiegele, A.; Christner, E.; Lejeune, B.; Demoulin, P.

    2014-12-01

    We present an intercomparison between the water vapour products from the Total Carbon Column Observing Network (TCCON) and the MUlti-platform remote Sensing of Isotopologues for investigating the Cycle of Atmospheric water (MUSICA), two datasets from ground-based Fourier Transform InfraRed (FTIR) spectrometers with good global representation. Where possible, comparisons to radiosondes are also included. The near-infrared TCCON measurements are optimized to provide precise monitoring of greenhouse gases for carbon cycle studies; however, TCCON's retrievals also produce water vapour products. The mid-infrared MUSICA products result from retrievals optimized to give precise and accurate information about H2O, HDO, and δD. The MUSICA water vapour products have been validated by extensive intercomparisons with H2O and δD in-situ measurements made from ground, radiosonde, and aircraft (Schneider et al. 2012, 2014), as well as by intercomparisons with satellite-based H2O and δD remote sensing measurements (Wiegele et al., 2014). This dataset provides a valuable reference point for other measurements of water vapour. This study is motivated by the limited intercomparisons performed for TCCON water vapour products and limited characterisation of their uncertainties. We compare MUSICA and TCCON products to assess the potential for TCCON measurements to contribute to studies of the water cycle, water vapour's role in climate and use as a tracer for atmospheric dynamics, and to evaluate the performance of climate models. The TCCON and MUSICA products result from measurements taken using the same FTIR instruments, enabling a comparison with constant instrumentation. The retrieval techniques differ, however, in their method and a priori information. We assess the impact of these differences and characterize the comparability of the TCCON and MUSICA datasets.

  16. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  17. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  18. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  19. Considering the use of polyethylene vapour barriers in temperate climates

    Energy Technology Data Exchange (ETDEWEB)

    Lawton, M.D. [Morrison Hershfield Ltd., Vancouver, BC (Canada); Brown, W.C. [Morrison Hershfield Ltd., Ottawa, ON (Canada)

    2003-07-01

    Most building envelope assemblies in Canada must include a vapour barrier in order to comply with Canadian building codes. The installation of sheet polyethylene between the studs and the interior sheathing has been the most common method because it provides more diffusion resistance than necessary to control condensation within a building envelope assembly. It has been suggested that the presence of a polyethylene vapour barrier on the warm-in-winter side of the insulation may actually cause moisture problems because a very low permeance material increases average moisture levels. This paper examined the theory that a vapour barrier at this location restricts drying of moisture that enters the building from outside. Pacific coastal regions of Canada and the United States were presented as examples. Other ways that a polyethylene vapour barrier affects wall performance were also presented. The advanced hygrothermal model HygIRC, developed by Canada's National Research Council, was used to simulate the performance of a wall assembly. Results indicate that eliminating the low permeance polyethylene vapour barrier does not necessarily reduce the risk of moisture problems. Removal of the vapour barrier may have some negative effects, such as increased risk of periodic moisture accumulation and mold growth on paper-faced gypsum board. 7 refs., 2 tabs., 7 figs.

  20. Deposition barium titanate (BaTiO3) doped lanthanum with chemical solution deposition

    International Nuclear Information System (INIS)

    Iriani, Y.; Nurhadi, N.; Jamaludin, A.

    2016-01-01

    Deposition of Barium Titanate (BaTiO 3 ) thin films used Chemical Solution Deposition (CSD) method and prepared with spin coater. BaTiO 3 is doped with lanthanum, 1%, 2%, and 3%. The thermal process use annealing temperature 900°C and holding time for 3 hours. The result of characterization with x-ray diffraction (XRD) equipment show that the addition of La 3+ doped on Barium Titanate caused the change of angle diffraction.The result of refine with GSAS software shows that lanthanum have been included in the structure of BaTiO 3 . Increasing mol dopant La 3+ cause lattice parameter and crystal volume become smaller. Characterization result using Scanning Electron Microscopy (SEM) equipment show that grain size (grain size) become smaller with increasing mole dopant (x) La 3+ . The result of characterization using Sawyer Tower methods show that all the samples (Barium Titanante and Barium Titanate doped lanthanum) are ferroelectric material. Increasing of mole dopant La 3+ cause smaller coercive field and remanent polarization increases. (paper)

  1. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  2. Detection of polar vapours

    International Nuclear Information System (INIS)

    Blyth, D.A.

    1980-01-01

    Apparatus for monitoring for polar vapours in a gas consists of (i) a body member defining a passage through which a continuous stream of the gas passes; (ii) an ionising source associated with a region of the passage such that ionization of the gas stream takes place substantially only within the region and also any polar vapour molecules present therein will react with the gas formed to generate ion clusters; and (iii) an electrode for collecting ions carried by the gas stream, the electrode being positioned in the passage downstream of the region and separated from the region by a sufficient distance to ensure that no substantial number of the gas ions formed in said region remains in the gas stream at the collector electrode whilst ensuring that a substantial proportion of the ion clusters formed in the region does remain in the gas stream at the collector electrode. (author)

  3. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  4. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  5. The millennium water vapour drop in chemistry–climate model simulations

    Directory of Open Access Journals (Sweden)

    S. Brinkop

    2016-07-01

    Full Text Available This study investigates the abrupt and severe water vapour decline in the stratosphere beginning in the year 2000 (the "millennium water vapour drop" and other similarly strong stratospheric water vapour reductions by means of various simulations with the state-of-the-art Chemistry-Climate Model (CCM EMAC (ECHAM/MESSy Atmospheric Chemistry Model. The model simulations differ with respect to the prescribed sea surface temperatures (SSTs and whether nudging is applied or not. The CCM EMAC is able to most closely reproduce the signature and pattern of the water vapour drop in agreement with those derived from satellite observations if the model is nudged. Model results confirm that this extraordinary water vapour decline is particularly obvious in the tropical lower stratosphere and is related to a large decrease in cold point temperature. The drop signal propagates under dilution to the higher stratosphere and to the poles via the Brewer–Dobson circulation (BDC. We found that the driving forces for this significant decline in water vapour mixing ratios are tropical sea surface temperature (SST changes due to a coincidence with a preceding strong El Niño–Southern Oscillation event (1997/1998 followed by a strong La Niña event (1999/2000 and supported by the change of the westerly to the easterly phase of the equatorial stratospheric quasi-biennial oscillation (QBO in 2000. Correct (observed SSTs are important for triggering the strong decline in water vapour. There are indications that, at least partly, SSTs contribute to the long period of low water vapour values from 2001 to 2006. For this period, the specific dynamical state of the atmosphere (overall atmospheric large-scale wind and temperature distribution is important as well, as it causes the observed persistent low cold point temperatures. These are induced by a period of increased upwelling, which, however, has no corresponding pronounced signature in SSTs anomalies in the tropics

  6. Efficacy of scalp hair decontamination following exposure to vapours of sulphur mustard simulants 2-chloroethyl ethyl sulphide and methyl salicylate

    OpenAIRE

    Spiandore , Marie; Piram , Anne; Lacoste , Alexandre; Prevost , P.; Maloni , Pascal; TORRE , Franck; Asia , L.; Josse , D.; Doumenq , Pierre

    2017-01-01

    International audience; Chemical warfare agents are an actual threat and victims' decontamination is a main concern when mass exposure occurs. Skin decontamination with current protocols has been widely documented, as well as surface decontamination. However, considering hair ability to trap chemicals in vapour phase, we investigated hair decontamination after exposure to sulphur mustard simulants methyl salicylate and 2-chloroethyl ethyl sulphide. Four decontamination protocols were tested o...

  7. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  8. Vapour pressure of D2O - Ice at temperatures below 237 K

    International Nuclear Information System (INIS)

    Heras, J.M.; Asensio, M.C.; Estiu, G.; Viscido, L.

    1984-01-01

    Accurate measurements of heavy water ice vapour pressures between 193 and 253 K have been carried out and an equation based on thermodynamic data has been derived in order to calculate the D 2 O-ice vapour pressures between 173 and 273 K. The agreement between our calculated vapour pressures and the available experimental data including those in this paper, is very good. The comparison between the theoretical calculations of H 2 O-ice and D 2 O-ice vapour pressures confirms the experimental evidence that H 2 O-ice is more volatile than D 2 O-ice at all temperatures in agreement with the vapour isotopic effect theory (VPIE).(author)

  9. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  10. Effect of surfactants on the morphology of FeSe films fabricated from ...

    Indian Academy of Sciences (India)

    tants in gas phase, aerosol- assisted chemical vapour deposition (AACVD) ... temperature, flow rate, concentration of the precursor, ... chemical sensing and photocatalytic activity in FeSe films ... having ultrasonic system on glass substrates.

  11. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  12. Analysis of a combined Rankine-vapour-compression refrigeration cycle

    International Nuclear Information System (INIS)

    Aphornratana, Satha; Sriveerakul, Thanarath

    2010-01-01

    This paper describes a theoretical analysis of a heat-powered refrigeration cycle, a combined Rankine-vapour-compression refrigeration cycle. This refrigeration cycle combines an Organic Rankine Cycle and a vapour-compression cycle. The cycle can be powered by low grade thermal energy as low as 60 deg. C and can produce cooling temperature as low as -10 deg. C. In the analysis, two combined Rankine-vapour-compression refrigeration cycles were investigated: the system with R22 and the system with R134a. Calculated COP values between 0.1 and 0.6 of both the systems were found.

  13. Characterization of microcrystalline I-layer for solar cells prepared in low temperature - plastic compatible process

    KAUST Repository

    Sliz, Rafal; Ahnood, Arman; Nathan, Arokia; Myllyla, Risto; Jabbour, Ghassan E.

    2012-01-01

    Microcrystalline silicon (mc-Si) lms deposited using a Plasma Enhanced Chemical Vapour Deposition (PECVD) process constitute an important material for manufacturing low-cost, large-area thin-lm devices, such as solar cells or thin-lm transistors

  14. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  15. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  16. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  17. Sensing of volatile organic compounds by copper phthalocyanine thin films

    Science.gov (United States)

    Ridhi, R.; Saini, G. S. S.; Tripathi, S. K.

    2017-02-01

    Thin films of copper phthalocyanine have been deposited by thermal evaporation technique. We have subsequently exposed these films to the vapours of methanol, ethanol and propanol. Optical absorption, infrared spectra and electrical conductivities of these films before and after exposure to chemical vapours have been recorded in order to study their sensing mechanisms towards organic vapours. These films exhibit maximum sensing response to methanol while low sensitivities of the films towards ethanol and propanol have been observed. The changes in sensitivities have been correlated with presence of carbon groups in the chemical vapours. The effect of different types of electrodes on response-recovery times of the thin film with organic vapours has been studied and compared. The electrodes gap distance affects the sensitivity as well as response-recovery time values of the thin films.

  18. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  19. The vapour pressure of americium(III) chloride

    International Nuclear Information System (INIS)

    Schuster, W.

    1983-01-01

    Based on the method described by Fischer, an ultramicro-size appratus was developed for static determination of the saturation vapour pressure of highly radioactive materials. The apparatus was tested with MgCl 2 , MnCl 2 , HoCl 3 and ScF 3 . The vapour pressure curves of MgCl 2 and MnCl 2 were in good agreement with other publications and thus proved the efficiency of the apparatus in spite of its difficulties of handling. The values measured for HoCl 3 and ScF 3 differed from those of earlier publications. However, these deviations have been observed before and may be the result of the different measuring principles of static and dynamic methods. For AmCl 3 , the following vapour pressure equation was established: log psub(Torr)=-(11826/T)+10.7. The thermodynamic parameters of the evaporation process were calculated on this basis, and the values for AmBr 3 and PnCl 3 were determined by extrapolation. (orig.) [de

  20. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  1. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  2. Effect of paint on vapour resistivity in plaster

    Directory of Open Access Journals (Sweden)

    de Villanueva, L.

    2008-12-01

    Full Text Available The vapour resistivity of plaster coatings such as paint and their effectiveness as water repellents were studied in several types of plaster. To this end, painted, unpainted and pigmented specimens were tested. Experimental values were collected on diffusion and vapour permeability, or its inverse, water vapour resistivity.The data obtained were very useful for evaluating moisture exchange between plaster and the surrounding air, both during initial drying and throughout the life of the material. They likewise served as a basis for ensuring the proper evacuation of water vapour in walls, and use of the capacity of the porous network in plaster products to regulate moisture content or serve as a water vapour barrier to avoid condensation.Briefly, the research showed that pigments, water-based paints and silicon-based water repellents scantly raised vapour resistance. Plastic paints, enamels and lacquers, however, respectively induced five-, ten- and twenty-fold increases in vapour resistivity, on average.Se estudia el fenómeno de la resistividad al vapor de los de yeso y el efecto impermeabilizante que producen los recubrimientos de pintura sobre diversos tipos de yeso y escayola. Para ello, se ensayan probetas desnudas y recubiertas con distintos tipos de pintura, así como coloreados en masa. Se obtienen valores experimentales de la difusividad o permeabilidad al vapor o su inverso la resistividad al vapor de agua.Los datos obtenidos son muy útiles para valorar el fenómeno del intercambio de humedad entre el yeso y el ambiente, tanto durante el proceso de su secado inicial, como en el transcurso de su vida. Así como para disponer soluciones adecuadas para la evacuación del vapor de agua a través de los cerramientos, para utilizar la capacidad de regulación de la humedad, que proporciona el entramado poroso de los productos de yeso, o para impedir el paso del vapor de agua y evitar condensaciones.Como resumen de la investigación, se

  3. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  4. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  5. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4073-4078 ISSN 0947-6539 R&D Projects: GA MŠk LL1301; GA MŠk(CZ) LM2015073 Grant - others:AVČR PPPLZ(CZ) L200401551 Institutional support: RVO:61388955 Keywords : functionalization * graphene * hydrogen ation * Raman spectroscopy Subject RIV: CF - Physical ; Theoretical Chemistry OBOR OECD: Physical chemistry Impact factor: 5.317, year: 2016

  6. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4073-4078 ISSN 0947-6539 R&D Projects: GA MŠk LL1301; GA MŠk(CZ) LM2015073 Grant - others:AVČR PPPLZ(CZ) L200401551 Institutional support: RVO:61388955 Keywords : functionalization * graphene * hydrogenation * Raman spectroscopy Subject RIV: CF - Physical ; Theoretical Chemistry OBOR OECD: Physical chemistry Impact factor: 5.317, year: 2016

  7. The effect of alkaline doped catalysts on the CVD synthesis of carbon nanotubes

    DEFF Research Database (Denmark)

    Nemeth, Krisztian; Nemeth, Zoltan; Fejes, Dora

    2011-01-01

    The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount o...... of the dopant alkali compounds varied from 1 to 5%. As prepared CNTs were characterized by transmission electron microscopy (TEM), X‐ray diffraction (XRD) and Raman microscopy. Results revealed that both carbon yield and deposit could be increased over doped catalysts.......The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount...

  8. Nanocomposite film prepared by depositing xylan on cellulose nanowhiskers matrix

    Science.gov (United States)

    Qining Sun; Anurag Mandalika; Thomas Elder; Sandeep S. Nair; Xianzhi Meng; Fang Huang; Art J. Ragauskas

    2014-01-01

    Novel bionanocomposite films have been prepared by depositing xylan onto cellulose nanowhiskers through a pH adjustment. Analysis of strength properties, water vapour transmission, transparency, surface morphology and thermal decomposition showed the enhancement of film performance. This provides a new green route to the utilization of biomass for sustainable...

  9. Characterization of boron doped nanocrystalline diamonds

    International Nuclear Information System (INIS)

    Peterlevitz, A C; Manne, G M; Sampaio, M A; Quispe, J C R; Pasquetto, M P; Iannini, R F; Ceragioli, H J; Baranauskas, V

    2008-01-01

    Nanostructured diamond doped with boron was prepared using a hot-filament assisted chemical vapour deposition system fed with an ethyl alcohol, hydrogen and argon mixture. The reduction of the diamond grains to the nanoscale was produced by secondary nucleation and defects induced by argon and boron atoms via surface reactions during chemical vapour deposition. Raman measurements show that the samples are nanodiamonds embedded in a matrix of graphite and disordered carbon grains, while morphological investigations using field electron scanning microscopy show that the size of the grains ranges from 20 to 100 nm. The lowest threshold fields achieved were in the 1.6 to 2.4 V/μm range

  10. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    Science.gov (United States)

    Engelbrecht, Johann P.; Stenchikov, Georgiy; Jish Prakash, P.; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-09-01

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m-2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of particle size fractions in the dust deposits.X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the mineralogical and chemical results alone. These aerosol data are the first of their kind from the Red Sea region. They will help assess their potential

  11. Claims in vapour device (e-cigarette) regulation: A Narrative Policy Framework analysis.

    Science.gov (United States)

    O'Leary, Renée; Borland, Ron; Stockwell, Tim; MacDonald, Marjorie

    2017-06-01

    The electronic cigarette or e-cigarette (vapour device) is a consumer product undergoing rapid growth, and governments have been adopting regulations on the sale of the devices and their nicotine liquids. Competing claims about vapour devices have ignited a contentious debate in the public health community. What claims have been taken up in the state arena, and how have they possibly influenced regulatory outcomes? This study utilized Narrative Policy Framework to analyze the claims made about vapour devices in legislation recommendation reports from Queensland Australia, Canada, and the European Union, and the 2016 deeming rule legislation from the United States, and examined the claims and the regulatory outcomes in these jurisdictions. The vast majority of claims in the policy documents represented vapour devices as a threat: an unsafe product harming the health of vapour device users, a gateway product promoting youth tobacco uptake, and a quasi-tobacco product impeding tobacco control. The opportunity for vapour devices to promote cessation or reduce exposure to toxins was very rarely presented, and these positive claims were not discussed at all in two of the four documents studied. The dominant claims of vapour devices as a public health threat have supported regulations that have limited their potential as a harm reduction strategy. Future policy debates should evaluate the opportunities for vapour devices to decrease the health and social burdens of the tobacco epidemic. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  13. Retrieving mesospheric water vapour from observations of volume scattering radiances

    Directory of Open Access Journals (Sweden)

    P. Vergados

    2009-02-01

    Full Text Available This study examines the possibility for a theoretical approach in the estimation of water vapour mixing ratios in the vicinity of polar mesospheric clouds (PMC using satellite observations of Volume Scattering Radiances (VSR obtained at the wavelength of 553 nm. The PMC scattering properties perturb the underlying molecular Rayleigh scattered solar radiance of the background atmosphere. As a result, the presence of PMC leads to an enhancement in the observed VSR at the altitude of the layer; the PMC VSRs are superimposed on the exponentially decreasing with height Rayleigh VSR, of the PMC-free atmosphere. The ratio between the observed and the Rayleigh VSR of the background atmosphere is used to simulate the environment in which the cloud layer is formed. In addition, a microphysical model of ice particle formation is employed to predict the PMC VSRs. The initial water vapour profile is perturbed until the modelled VSRs match the observed, at which point the corresponding temperature and water vapour profiles can be considered as a first approximation of those describing the atmosphere at the time of the observations. The role of temperature and water vapour in the cloud formation is examined by a number of sensitivity tests suggesting that the water vapour plays a dominant role in the cloud formation in agreement with experimental results. The estimated water vapour profiles are compared with independent observations to examine the model capability in the context of this study. The results obtained are in a good agreement at the peak of the PMC layer although the radiance rapidly decreases with height below the peak. This simplified scenario indicates that the technique employed can give a first approximation estimate of the water vapour mixing ratio, giving rise to the VSR observed in the presence of PMC.

  14. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  15. Folding two dimensional crystals by swift heavy ion irradiation

    International Nuclear Information System (INIS)

    Ochedowski, Oliver; Bukowska, Hanna; Freire Soler, Victor M.; Brökers, Lara; Ban-d'Etat, Brigitte; Lebius, Henning; Schleberger, Marika

    2014-01-01

    Ion irradiation of graphene, the showcase model of two dimensional crystals, has been successfully applied to induce various modifications in the graphene crystal. One of these modifications is the formation of origami like foldings in graphene which are created by swift heavy ion irradiation under glancing incidence angle. These foldings can be applied to locally alter the physical properties of graphene like mechanical strength or chemical reactivity. In this work we show that the formation of foldings in two dimensional crystals is not restricted to graphene but can be applied for other materials like MoS 2 and hexagonal BN as well. Further we show that chemical vapour deposited graphene forms foldings after swift heavy ion irradiation while chemical vapour deposited MoS 2 does not

  16. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  17. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  18. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  19. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  20. Comparisons of measured and modelled ozone deposition to forests in northern Europe

    DEFF Research Database (Denmark)

    Touvinen, J. P.; Simpson, D.; Mikkelsen, Teis Nørgaard

    2001-01-01

    The performance of a new dry deposition module, developedfor the European-scale mapping and modelling of ozone flux to vegetation, was tested against micrometeorological ozone and water vapour flux measurements. The measurement data are for twoconiferous (Scots pine in Finland, Norway spruce...