WorldWideScience

Sample records for chemical vapor generation

  1. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  2. Silver chemical vapor generation for atomic absorption spectrometry: Minimization of transport losses, interferences and application to water analysis

    Czech Academy of Sciences Publication Activity Database

    Musil, Stanislav; Kratzer, Jan; Vobecký, Miloslav; Benada, Oldřich; Matoušek, Tomáš

    2010-01-01

    Roč. 25, č. 10 (2010), s. 1618-1626 ISSN 0267-9477 R&D Projects: GA ČR GA203/09/1783 Institutional research plan: CEZ:AV0Z40310501; CEZ:AV0Z50200510 Keywords : chemical vapor generation * 111Ag radioindicator * transport losses Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 4.372, year: 2010

  3. Vapor generation methods for explosives detection research

    Energy Technology Data Exchange (ETDEWEB)

    Grate, Jay W.; Ewing, Robert G.; Atkinson, David A.

    2012-12-01

    The generation of calibrated vapor samples of explosives compounds remains a challenge due to the low vapor pressures of the explosives, adsorption of explosives on container and tubing walls, and the requirement to manage (typically) multiple temperature zones as the vapor is generated, diluted, and delivered. Methods that have been described to generate vapors can be classified as continuous or pulsed flow vapor generators. Vapor sources for continuous flow generators are typically explosives compounds supported on a solid support, or compounds contained in a permeation or diffusion device. Sources are held at elevated isothermal temperatures. Similar sources can be used for pulsed vapor generators; however, pulsed systems may also use injection of solutions onto heated surfaces with generation of both solvent and explosives vapors, transient peaks from a gas chromatograph, or vapors generated by s programmed thermal desorption. This article reviews vapor generator approaches with emphasis on the method of generating the vapors and on practical aspects of vapor dilution and handling. In addition, a gas chromatographic system with two ovens that is configurable with up to four heating ropes is proposed that could serve as a single integrated platform for explosives vapor generation and device testing. Issues related to standards, calibration, and safety are also discussed.

  4. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  5. Efficient generation of volatile species for cadmium analysis in seafood and rice samples by a modified chemical vapor generation system coupled with atomic fluorescence spectrometry

    International Nuclear Information System (INIS)

    Yang, Xin-an; Chi, Miao-bin; Wang, Qing-qing; Zhang, Wang-bing

    2015-01-01

    Highlights: • We develop a modified chemical vapor generation method coupled with AFS for the determination of cadmium. • The response of Cd could be increased at least four-fold compared to conventional thiourea and Co(II) system. • A simple mixing sequences experiment is designed to study the reaction mechanism. • The interference of transition metal ions can be easily eliminated by adding DDTC. • The method is successfully applied in seafood samples and rice samples. - Abstract: A vapor generation procedure to determine Cd by atomic fluorescence spectrometry (AFS) has been established. Volatile species of Cd are generated by following reaction of acidified sample containing Fe(II) and L-cysteine (Cys) with sodium tetrahydroborate (NaBH 4 ). The presence of 5 mg L −1 Fe(II) and 0.05% m/v Cys improves the efficiency of Cd vapor generation substantially about four-fold compared with conventional thiourea and Co(II) system. Three experiments with different mixing sequences and reaction times are designed to study the reaction mechanism. The results document that the stability of Cd(II)–Cys complexes is better than Cys–THB complexes (THB means NaBH 4 ) while the Cys–THB complexes have more contribution to improve the Cd vapor generation efficiency than Cd(II)–Cys complexes. Meanwhile, the adding of Fe(II) can catalyze the Cd vapor generation. Under the optimized conditions, the detection limit of Cd is 0.012 μg L −1 ; relative standard deviations vary between 0.8% and 5.5% for replicate measurements of the standard solution. In the presence of 0.01% DDTC, Cu(II), Pb(II) and Zn(II) have no significant influence up to 5 mg L −1 , 10 mg L −1 and 10 mg L −1 , respectively. The accuracy of the method is verified through analysis of the certificated reference materials and the proposed method has been applied in the determination of Cd in seafood and rice samples

  6. Efficient generation of volatile species for cadmium analysis in seafood and rice samples by a modified chemical vapor generation system coupled with atomic fluorescence spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Xin-an, E-mail: 13087641@qq.com; Chi, Miao-bin, E-mail: 1161306667@qq.com; Wang, Qing-qing, E-mail: wangqq8812@163.com; Zhang, Wang-bing, E-mail: ahutwbzh@163.com

    2015-04-15

    Highlights: • We develop a modified chemical vapor generation method coupled with AFS for the determination of cadmium. • The response of Cd could be increased at least four-fold compared to conventional thiourea and Co(II) system. • A simple mixing sequences experiment is designed to study the reaction mechanism. • The interference of transition metal ions can be easily eliminated by adding DDTC. • The method is successfully applied in seafood samples and rice samples. - Abstract: A vapor generation procedure to determine Cd by atomic fluorescence spectrometry (AFS) has been established. Volatile species of Cd are generated by following reaction of acidified sample containing Fe(II) and L-cysteine (Cys) with sodium tetrahydroborate (NaBH{sub 4}). The presence of 5 mg L{sup −1} Fe(II) and 0.05% m/v Cys improves the efficiency of Cd vapor generation substantially about four-fold compared with conventional thiourea and Co(II) system. Three experiments with different mixing sequences and reaction times are designed to study the reaction mechanism. The results document that the stability of Cd(II)–Cys complexes is better than Cys–THB complexes (THB means NaBH{sub 4}) while the Cys–THB complexes have more contribution to improve the Cd vapor generation efficiency than Cd(II)–Cys complexes. Meanwhile, the adding of Fe(II) can catalyze the Cd vapor generation. Under the optimized conditions, the detection limit of Cd is 0.012 μg L{sup −1}; relative standard deviations vary between 0.8% and 5.5% for replicate measurements of the standard solution. In the presence of 0.01% DDTC, Cu(II), Pb(II) and Zn(II) have no significant influence up to 5 mg L{sup −1}, 10 mg L{sup −1}and 10 mg L{sup −1}, respectively. The accuracy of the method is verified through analysis of the certificated reference materials and the proposed method has been applied in the determination of Cd in seafood and rice samples.

  7. Point of net vapor generation and vapor void fraction in subcooled boiling

    International Nuclear Information System (INIS)

    Saha, P.; Zuber, N.

    1974-01-01

    An analysis is presented directed at predicting the point of net vapor generation and vapor void fraction in subcooled boiling. It is shown that the point of net vapor generation depends upon local conditions--thermal and fluid dynamic. Thus, at low mass flow rates the net vapor generation is determined by thermal conditions, whereas at high mass flow rates the phenomenon is hydrodynamically controlled. Simple criteria are derived which can be used to predict these local conditions for net vapor generation. These criteria are used to determine the vapor void fraction is subcooled boiling. Comparison between the results predicted by this analysis and experimental data presently available shows good agreement for wide range of operating conditions, fluids and geometries. (U.S.)

  8. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  9. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  10. Portable device for generation of ultra-pure water vapor feeds

    Science.gov (United States)

    Velin, P.; Stenman, U.; Skoglundh, M.; Carlsson, P.-A.

    2017-11-01

    A portable device for the generation of co-feeds of water vapor has been designed, constructed, and evaluated for flexible use as an add-on component to laboratory chemical reactors. The vapor is formed by catalytic oxidation of hydrogen, which benefits the formation of well-controlled minute concentrations of ultra-pure water. Analysis of the effluent stream by on-line mass spectrometry and Fourier transform infrared spectroscopy confirms that water vapor can be, with high precision, generated both rapidly and steadily over extended periods in the range of 100 ppm to 3 vol. % (limited by safety considerations) using a total flow of 100 to 1500 ml/min at normal temperature and pressure. Further, the device has been used complementary to a commercial water evaporator and mixing system to span water concentrations up to 12 vol. %. Finally, an operando diffuse reflective infrared Fourier transform spectroscopic measurement of palladium catalysed methane oxidation in the absence and presence of up to 1.0 vol. % water has been carried out to demonstrate the applicability of the device for co-feeding well-controlled low concentrations of water vapor to a common type of spectroscopic experiment. The possibilities of creating isotopically labeled water vapor as well as using tracer gases for dynamic experiments are discussed.

  11. Improvements to vapor generators

    International Nuclear Information System (INIS)

    Keller, Arthur; Monroe, Neil.

    1976-01-01

    A supporting system is proposed for vapor generators of the 'supported' type. Said supporting system is intended to compensate the disparities of thermal expansion due to the differences in the vertical dimensions of the tubes in the walls of the combustion chamber and their collectors compared to that of the balloon tanks and the connecting tube clusters of vaporization, the first one being longer than the second ones. Said system makes it possible to build said combustion chamber higher than the balloon tanks and the tube clusters of vaporization. The capacity of steam production is thus enhanced [fr

  12. Estimating evaporative vapor generation from automobiles based on parking activities

    International Nuclear Information System (INIS)

    Dong, Xinyi; Tschantz, Michael; Fu, Joshua S.

    2015-01-01

    A new approach is proposed to quantify the evaporative vapor generation based on real parking activity data. As compared to the existing methods, two improvements are applied in this new approach to reduce the uncertainties: First, evaporative vapor generation from diurnal parking events is usually calculated based on estimated average parking duration for the whole fleet, while in this study, vapor generation rate is calculated based on parking activities distribution. Second, rather than using the daily temperature gradient, this study uses hourly temperature observations to derive the hourly incremental vapor generation rates. The parking distribution and hourly incremental vapor generation rates are then adopted with Wade–Reddy's equation to estimate the weighted average evaporative generation. We find that hourly incremental rates can better describe the temporal variations of vapor generation, and the weighted vapor generation rate is 5–8% less than calculation without considering parking activity. - Highlights: • We applied real parking distribution data to estimate evaporative vapor generation. • We applied real hourly temperature data to estimate hourly incremental vapor generation rate. • Evaporative emission for Florence is estimated based on parking distribution and hourly rate. - A new approach is proposed to quantify the weighted evaporative vapor generation based on parking distribution with an hourly incremental vapor generation rate

  13. Vapor generation – atomic spectrometric techniques. Expanding frontiers through specific-species preconcentration. A review

    International Nuclear Information System (INIS)

    Gil, Raúl A.; Pacheco, Pablo H.; Cerutti, Soledad; Martinez, Luis D.

    2015-01-01

    This article reviews 120 articles found in SCOPUS and specific Journal cites corresponding to the terms ‘preconcentration’; ‘speciation’; ‘vapor generation techniques’ and ‘atomic spectrometry techniques’ in the last 5 years. - Highlights: • Recent advances in vapor generation and atomic spectrometry were reviewed. • Species-specific preconcentration strategies after and before VG were discussed. • New preconcentration and speciation analysis were evaluated within this framework. - Abstract: We review recent progress in preconcentration strategies associated to vapor generation techniques coupled to atomic spectrometric (VGT-AS) for specific chemical species detection. This discussion focuses on the central role of different preconcentration approaches, both before and after VG process. The former was based on the classical solid phase and liquid–liquid extraction procedures which, aided by automation and miniaturization strategies, have strengthened the role of VGT-AS in several research fields including environmental, clinical, and others. We then examine some of the new vapor trapping strategies (atom-trapping, hydride trapping, cryotrapping) that entail improvements in selectivity through interference elimination, but also they allow reaching ultra-low detection limits for a large number of chemical species generated in conventional VG systems, including complete separation of several species of the same element. This review covers more than 100 bibliographic references from 2009 up to date, found in SCOPUS database and in individual searches in specific journals. We finally conclude by giving some outlook on future directions of this field

  14. Vapor generation – atomic spectrometric techniques. Expanding frontiers through specific-species preconcentration. A review

    Energy Technology Data Exchange (ETDEWEB)

    Gil, Raúl A.; Pacheco, Pablo H.; Cerutti, Soledad [Área de Química Analítica, Facultad de Química Bioquímica y Farmacia, Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina); Instituto de Química de San Luis, INQUISAL, Centro Científico-Tecnológico de San Luis (CCT-San Luis), Consejo Nacional de Investigaciones Científicas y Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina); Martinez, Luis D., E-mail: ldm@unsl.edu.ar [Área de Química Analítica, Facultad de Química Bioquímica y Farmacia, Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina); Instituto de Química de San Luis, INQUISAL, Centro Científico-Tecnológico de San Luis (CCT-San Luis), Consejo Nacional de Investigaciones Científicas y Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina)

    2015-05-22

    This article reviews 120 articles found in SCOPUS and specific Journal cites corresponding to the terms ‘preconcentration’; ‘speciation’; ‘vapor generation techniques’ and ‘atomic spectrometry techniques’ in the last 5 years. - Highlights: • Recent advances in vapor generation and atomic spectrometry were reviewed. • Species-specific preconcentration strategies after and before VG were discussed. • New preconcentration and speciation analysis were evaluated within this framework. - Abstract: We review recent progress in preconcentration strategies associated to vapor generation techniques coupled to atomic spectrometric (VGT-AS) for specific chemical species detection. This discussion focuses on the central role of different preconcentration approaches, both before and after VG process. The former was based on the classical solid phase and liquid–liquid extraction procedures which, aided by automation and miniaturization strategies, have strengthened the role of VGT-AS in several research fields including environmental, clinical, and others. We then examine some of the new vapor trapping strategies (atom-trapping, hydride trapping, cryotrapping) that entail improvements in selectivity through interference elimination, but also they allow reaching ultra-low detection limits for a large number of chemical species generated in conventional VG systems, including complete separation of several species of the same element. This review covers more than 100 bibliographic references from 2009 up to date, found in SCOPUS database and in individual searches in specific journals. We finally conclude by giving some outlook on future directions of this field.

  15. Semi-continuous high speed gas analysis of generated vapors of chemical warfare agents

    NARCIS (Netherlands)

    Trap, H.C.; Langenberg, J.P.

    1999-01-01

    A method is presented for the continuous analysis of generated vapors of the nerve agents soman and satin and the blistering agent sulfur mustard. By using a gas sampling valve and a very short (15 cm) column connected to an on-column injector with a 'standard length' column, the system can either

  16. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  17. Electrochemical generation of mercury cold vapor and its in-situ trapping in gold-covered graphite tube atomizers

    International Nuclear Information System (INIS)

    Cerveny, Vaclav; Rychlovsky, Petr; Netolicka, Jarmila; Sima, Jan

    2007-01-01

    The combination of more efficient flow-through electrochemical mercury cold vapor generation with its in-situ trapping in a graphite tube atomizer is described. This coupled technique has been optimized to attain the maximum sensitivity for Hg determination and to minimize the limits of detection and determination. A laboratory constructed thin-layer flow-through cell with a platinum cathode served as the cold vapor generator. Various cathode arrangements with different active surface areas were tested. Automated sampling equipment for the graphite atomizer with an untreated fused silica capillary was used for the introduction of the mercury vapor. The inner surface of the graphite tube was covered with a gold foil placed against the sampling hole. The results attained for the electrochemical mercury cold vapor generation (an absolute limit of detection of 80 pg; peak absorbance, 3σ criterion) were compared with the traditional vapor generation using NaBH 4 as the reducing agent (an absolute limit of detection of 124 pg; peak absorbance, 3σ criterion). The repeatability at the 5 ng ml -1 level was better than 4.1% (RSD) for electrochemical mercury vapor generation and better than 5.6% for the chemical cold vapor generation. The proposed method was applied to the determination the of Hg contents in a certified reference material and in spiked river water samples

  18. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  19. Direct determination of arsenic in soil samples by fast pyrolysis–chemical vapor generation using sodium formate as a reductant followed by nondispersive atomic fluorescence spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Xuchuan; Zhang, Jingya; Bu, Fanlong

    2015-09-01

    This new study shows for the first time that sodium formate can react with trace arsenic to form volatile species via fast pyrolysis – chemical vapor generation. We found that the presence of thiourea greatly enhanced the generation efficiency and eliminated the interference of copper. We studied the reaction temperature, the volume of sodium formate, the reaction acidity, and the carried argon rate using nondispersive atomic fluorescence spectrometry. Under optimal conditions of T = 500 °C, the volumes of 30% sodium formate and 10% thiourea were 0.2 ml and 0.05 ml, respectively. The carrier argon rate was 300 ml min{sup −1} and the detection limit and precision of arsenic were 0.39 ng and 3.25%, respectively. The amount of arsenic in soil can be directly determined by adding trace amount of hydrochloric acid as a decomposition reagent without any sample pretreatment. The method was successfully applied to determine trace amount of arsenic in two soil-certified reference materials (GBW07453 and GBW07450), and the results were found to be in agreement with certified reference values. - Highlights: • Sodium formate can react with trace arsenic to form volatile species via pyrolysis–chemical vapor generation. • Thiourea can enhance the generation efficiency and eliminate the interference of copper. • Arsenic in soil Sample can be directly determined without sample pretreatment.

  20. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  1. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  2. Vapor generation rate model for dispersed drop flow

    International Nuclear Information System (INIS)

    Unal, C.; Tuzla, K.; Cokmez-Tuzla, A.F.; Chen, J.C.

    1991-01-01

    A comparison of predictions of existing nonequilibrium post-CHF heat transfer models with the recently obtained rod bundle data has been performed. The models used the experimental conditions and wall temperatures to predict the heat flux and vapor temperatures at the location of interest. No existing model was able to reasonably predict the vapor superheat and the wall heat flux simultaneously. Most of the models, except Chen-Sundaram-Ozkaynak, failed to predict the wall heat flux, while all of the models could not predict the vapor superheat data or trends. A recently developed two-region heat transfer model, the Webb-Chen two-region model, did not give a reasonable prediction of the vapor generation rate in the far field of the CHF point. A new correlation was formulated to predict the vapor generation rate in convective dispersed droplet flow in terms of thermal-hydraulic parameters and thermodynamic properties. A comparison of predictions of the two-region heat transfer model, with the use of a presently developed correlation, with all the existing post-CHF data, including single-tube and rod bundle, showed significant improvements in predicting the vapor superheat and tube wall heat flux trends. (orig.)

  3. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  4. Vapor generating unit blowdown arrangement

    International Nuclear Information System (INIS)

    McDonald, B.N.

    1978-01-01

    A vapor generating unit having a U-shaped tube bundle is provided with an orificed downcomer shroud and a fluid flow distribution plate between the lower hot and cold leg regions to promote fluid entrained sediment deposition in proximity to an apertured blowdown pipe

  5. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  6. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  7. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  8. Techniques for the generation and monitoring of vapors

    International Nuclear Information System (INIS)

    Nelson, G.O.

    1981-01-01

    Controlled test atmospheres can be produced using a variety of techniques. Gases are usually generated by using flow dilution methods while vapors are produced by using solvent injection and vaporization, saturation, permeation and diffusion techniques. The resulting gas mixtures can be monitored and measured using flame ionization, photoionization, electrochemical and infrared analytical systems. An ideal system for the production of controlled test atmospheres would not only be able to generate controlled test atmospheres, but also monitor all pertinent environmental parameters, such as temperature, humidity, and air flow

  9. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  10. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  11. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  12. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  13. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  14. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  15. Determination of Cd, Hg, Pb and Se in sediments slurries by isotopic dilution calibration ICP-MS after chemical vapor generation using an on-line system or retention in an electrothermal vaporizer treated with iridium

    International Nuclear Information System (INIS)

    Vieira, Mariana Antunes; Ribeiro, Anderson Schwingel; Dias, Lucia Felicidade; Curtius, Adilson Jose

    2005-01-01

    A method for the determination of Cd, Hg, Pb and Se in sediments reference materials by slurry sampling chemical vapor generation (CVG) using isotopic dilution (ID) calibration and detection by inductively coupled plasma mass spectrometry (ICP-MS) is proposed. Two different systems were used for the investigation: an on-line flow injection system (FI-CVG-ICP-MS) and an off-line system with in situ trapping electrothermal vaporization (CVG-ETV-ICP-MS). About 100 mg of the reference material, ground to a particle size ≤50 μm, was mixed with acid solutions (aqua regia, HF and HCl) in an ultrasonic bath. The enriched isotopes 111 Cd, 198 Hg, 206 Pb and 77 Se were then added to the slurry in an adequate amount in order to produce an altered isotopic ratio close to 1. For the on-line system, a standing time for the slurry of 12 h before measurement was required, while for the batch system, no standing time is needed to obtain accurate results. The conditions for the formation of the analyte vapor were optimized for the evaluated systems. The following altered isotope ratios were measured: 111 Cd/ 114 Cd, 198 Hg/ 199 Hg, 206 Pb/ 208 Pb e 77 Se/ 82 Se. The obtained detection limits in the on-line system, in μg g -1 , were: Cd: 0.15; Hg: 0.09; Pb: 6.0 and Se: 0.03. Similar detection limits were obtained with the system that uses the ETV: 0.21 for Hg, 6.0 for Pb and 0.06 μg g -1 for Se. No signal for Cd was obtained in this system. One estuarine, two marine and two river certified sediments were analyzed to check the accuracy. The obtained values by both systems were generally in agreement with the certified concentrations, according to the t-test for a confidence level of 95%, demonstrating that isotope equilibration was attained in the slurries submitted to a chemical vapor generation procedure and detection by ICP-MS. The relative standard deviations were lower than 10%, adequate for slurry analysis. The almost quantitative analytes extractions to the aqueous phase

  16. Determination of trace cadmium in rice by liquid spray dielectric barrier discharge induced plasma - chemical vapor generation coupled with atomic fluorescence spectrometry

    Science.gov (United States)

    Liu, Xing; Zhu, Zhenli; Bao, Zhengyu; Zheng, Hongtao; Hu, Shenghong

    2018-03-01

    Cadmium contamination in rice has become an increasing concern in many countries including China. A simple, cost-effective, and highly sensitive method was developed for the determination of trace cadmium in rice samples based on a new high-efficient liquid spray dielectric barrier discharge induced plasma (LSDBD) vapor generation coupled with atomic fluorescence spectrometry (AFS). The analytical procedure involves the efficient formation of Cd volatile species by LSDBD plasma induced chemical processes without the use of any reducing reagents (Na/KBH4 in conventional hydride generation). The effects of the addition of organic substances, different discharge parameters such as discharge voltage and discharge gap, as well as the foreign ion interferences were investigated. Under optimized conditions, a detection limit of 0.01 μg L- 1 and a precision of 0.8% (RSD, n = 5, 1 μg L- 1 Cd) was readily achieved. The calibration curve was linear in the range between 0.1 and 10 μg L- 1, with a correlation coefficient of R2 = 0.9995. Compared with the conventional acid-BH4- vapor generation, the proposed method not only eliminates the use of unstable and expensive reagents, but also offers high tolerance for coexisting ions, which is well suited to the direct analysis of environmental samples. The validation of the proposed method was demonstrated by the analysis of Cd in reference material of rice (GBW080684). It was also successfully applied to the determination of trace cadmium in locally collected 11 rice samples, and the obtained Cd concentrations are ranged from 7.2 to 517.7 μg kg- 1.

  17. Sol-Generating Chemical Vapor into Liquid (SG-CViL) deposition – a facile method for encapsulation of diverse cell types in silica matrices

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Robert [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Materials Engineering Dept.; Rogelj, Snezna [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Biology Dept.; Harper, Jason C. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Bioenergy and Biodefense Technologies Dept.; Tartis, Michaelann [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Materials and Chemical Engineering Dept.

    2014-12-12

    In nature, cells perform a variety of complex functions such as sensing, catalysis, and energy conversion which hold great potential for biotechnological device construction. However, cellular sensitivity to ex vivo environments necessitates development of bio–nano interfaces which allow integration of cells into devices and maintain their desired functionality. In order to develop such an interface, the use of a novel Sol-Generating Chemical Vapor into Liquid (SG-CViL) deposition process for whole cell encapsulation in silica was explored. In SG-CViL, the high vapor pressure of tetramethyl orthosilicate (TMOS) is utilized to deliver silica into an aqueous medium, creating a silica sol. Cells are then mixed with the resulting silica sol, facilitating encapsulation of cells in silica while minimizing cell contact with the cytotoxic products of silica generating reactions (i.e. methanol), and reduce exposure of cells to compressive stresses induced from silica condensation reactions. Using SG-CVIL, Saccharomyces cerevisiae (S. cerevisiae) engineered with an inducible beta galactosidase system were encapsulated in silica solids and remained both viable and responsive 29 days post encapsulation. By tuning SG-CViL parameters, thin layer silica deposition on mammalian HeLa and U87 human cancer cells was also achieved. Thus, the ability to encapsulate various cell types in either a multi cell (S. cerevisiae) or a thin layer (HeLa and U87 cells) fashion shows the promise of SG-CViL as an encapsulation strategy for generating cell–silica constructs with diverse functions for incorporation into devices for sensing, bioelectronics, biocatalysis, and biofuel applications.

  18. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  19. Ultrasound-assisted vapor generation of mercury.

    Science.gov (United States)

    Ribeiro, Anderson S; Vieira, Mariana A; Willie, Scott; Sturgeon, Ralph E

    2007-06-01

    Cold vapor generation arising from reduction of both Hg(2+) and CH(3)Hg(+) occurs using ultrasonic (US) fields of sufficient density to achieve both localized heating as well as radical-based attack in solutions of formic and acetic acids and tetramethylammonium hydroxide (TMAH). A batch sonoreactor utilizing an ultrasonic probe as an energy source and a flow through system based on a US bath were optimized for this purpose. Reduction of CH(3)Hg(+) to Hg(0) occurs only at relatively high US field density (>10 W cm(-3) of sample solution) and is thus not observed when a conventional US bath is used for cold vapor generation. Speciation of mercury is thus possible by altering the power density during the measurement process. Thermal reduction of Hg(2+) is efficient in formic acid and TMAH at 70 degrees C and occurs in the absence of the US field. Room temperature studies with the batch sonoreactor reveal a slow reduction process, producing temporally broad signals having an efficiency of approximately 68% of that arising from use of a conventional SnCl(2) reduction system. Molecular species of mercury are generated at high concentrations of formic and acetic acid. Factors affecting the generation of Hg(0) were optimized and the batch sonoreactor used for the determination of total mercury in SLRS-4 river water reference material.

  20. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  1. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  2. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  4. Mechanisms of chemical vapor generation by aqueous tetrahydridoborate. Recent developments toward the definition of a more general reaction model

    Science.gov (United States)

    D'Ulivo, Alessandro

    2016-05-01

    A reaction model describing the reactivity of metal and semimetal species with aqueous tetrahydridoborate (THB) has been drawn taking into account the mechanism of chemical vapor generation (CVG) of hydrides, recent evidences on the mechanism of interference and formation of byproducts in arsane generation, and other evidences in the field of the synthesis of nanoparticles and catalytic hydrolysis of THB by metal nanoparticles. The new "non-analytical" reaction model is of more general validity than the previously described "analytical" reaction model for CVG. The non-analytical model is valid for reaction of a single analyte with THB and for conditions approaching those typically encountered in the synthesis of nanoparticles and macroprecipitates. It reduces to the previously proposed analytical model under conditions typically employed in CVG for trace analysis (analyte below the μM level, borane/analyte ≫ 103 mol/mol, no interference). The non-analytical reaction model is not able to explain all the interference effects observed in CVG, which can be achieved only by assuming the interaction among the species of reaction pathways of different analytical substrates. The reunification of CVG, the synthesis of nanoparticles by aqueous THB and the catalytic hydrolysis of THB inside a common frame contribute to rationalization of the complex reactivity of aqueous THB with metal and semimetal species.

  5. Piezoelectric trace vapor calibrator

    International Nuclear Information System (INIS)

    Verkouteren, R. Michael; Gillen, Greg; Taylor, David W.

    2006-01-01

    The design and performance of a vapor generator for calibration and testing of trace chemical sensors are described. The device utilizes piezoelectric ink-jet nozzles to dispense and vaporize precisely known amounts of analyte solutions as monodisperse droplets onto a hot ceramic surface, where the generated vapors are mixed with air before exiting the device. Injected droplets are monitored by microscope with strobed illumination, and the reproducibility of droplet volumes is optimized by adjustment of piezoelectric wave form parameters. Complete vaporization of the droplets occurs only across a 10 deg. C window within the transition boiling regime of the solvent, and the minimum and maximum rates of trace analyte that may be injected and evaporated are determined by thermodynamic principles and empirical observations of droplet formation and stability. By varying solution concentrations, droplet injection rates, air flow, and the number of active nozzles, the system is designed to deliver--on demand--continuous vapor concentrations across more than six orders of magnitude (nominally 290 fg/l to 1.05 μg/l). Vapor pulses containing femtogram to microgram quantities of analyte may also be generated. Calibrated ranges of three explosive vapors at ng/l levels were generated by the device and directly measured by ion mobility spectrometry (IMS). These data demonstrate expected linear trends within the limited working range of the IMS detector and also exhibit subtle nonlinear behavior from the IMS measurement process

  6. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  7. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  8. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  9. Ultrasound-assisted vapor generation of mercury

    Energy Technology Data Exchange (ETDEWEB)

    Ribeiro, Anderson S.; Vieira, Mariana A. [Universidade Federal de Santa Catarina, Departamento de Quimica, Florianopolis, SC (Brazil); Willie, Scott; Sturgeon, Ralph E. [National Research Council Canada, Institute for National Measurement Standards, Ottawa, ON (Canada)

    2007-06-15

    Cold vapor generation arising from reduction of both Hg{sup 2+} and CH{sub 3}Hg{sup +} occurs using ultrasonic (US) fields of sufficient density to achieve both localized heating as well as radical-based attack in solutions of formic and acetic acids and tetramethylammonium hydroxide (TMAH). A batch sonoreactor utilizing an ultrasonic probe as an energy source and a flow through system based on a US bath were optimized for this purpose. Reduction of CH{sub 3}Hg{sup +} to Hg{sup 0} occurs only at relatively high US field density (>10 W cm{sup -3} of sample solution) and is thus not observed when a conventional US bath is used for cold vapor generation. Speciation of mercury is thus possible by altering the power density during the measurement process. Thermal reduction of Hg{sup 2+} is efficient in formic acid and TMAH at 70 C and occurs in the absence of the US field. Room temperature studies with the batch sonoreactor reveal a slow reduction process, producing temporally broad signals having an efficiency of approximately 68% of that arising from use of a conventional SnCl{sub 2} reduction system. Molecular species of mercury are generated at high concentrations of formic and acetic acid. Factors affecting the generation of Hg{sup 0} were optimized and the batch sonoreactor used for the determination of total mercury in SLRS-4 river water reference material. (orig.)

  10. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  11. Resonant second harmonic generation in potassium vapor

    International Nuclear Information System (INIS)

    Kim, D.; Mullin, C.S.; Shen, Y.R.; Lawrence Berkeley Lab., CA

    1995-06-01

    Picosecond pulses are used to study resonant second harmonic generation in potassium vapor. Although the process is both microscopically and macroscopically forbidden, it can readily be observed. The results can be quantitatively understood by a multiphoton-ionization-initiated, dc-field-induced, coherent transient model

  12. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  13. Design, Modeling, Fabrication, and Evaluation of Thermoelectric Generators with Hot-Wire Chemical Vapor Deposited Polysilicon as Thermoelement Material

    Science.gov (United States)

    de Leon, Maria Theresa; Tarazona, Antulio; Chong, Harold; Kraft, Michael

    2014-11-01

    This paper presents the design, modeling, fabrication, and evaluation of thermoelectric generators (TEGs) with p-type polysilicon deposited by hot-wire chemical vapor deposition (HWCVD) as thermoelement material. A thermal model is developed based on energy balance and heat transfer equations using lumped thermal conductances. Several test structures were fabricated to allow characterization of the boron-doped polysilicon material deposited by HWCVD. The film was found to be electrically active without any post-deposition annealing. Based on the tests performed on the test structures, it is determined that the Seebeck coefficient, thermal conductivity, and electrical resistivity of the HWCVD polysilicon are 113 μV/K, 126 W/mK, and 3.58 × 10-5 Ω m, respectively. Results from laser tests performed on the fabricated TEG are in good agreement with the thermal model. The temperature values derived from the thermal model are within 2.8% of the measured temperature values. For a 1-W laser input, an open-circuit voltage and output power of 247 mV and 347 nW, respectively, were generated. This translates to a temperature difference of 63°C across the thermoelements. This paper demonstrates that HWCVD, which is a cost-effective way of producing solar cells, can also be applied in the production of TEGs. By establishing that HWCVD polysilicon can be an effective thermoelectric material, further work on developing photovoltaic-thermoelectric (PV-TE) hybrid microsystems that are cost-effective and better performing can be explored.

  14. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  15. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  16. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  17. Synergetic enhancement effect of ionic liquid and diethyldithiocarbamate on the chemical vapor generation of nickel for its atomic fluorescence spectrometric determination in biological samples

    International Nuclear Information System (INIS)

    Zhang Chuan; Li Yan; Wu Peng; Yan Xiuping

    2009-01-01

    Room-temperature ionic liquid in combination with sodium diethyldithiocarbamate (DDTC) was used to synergetically improve the chemical vapor generation (CVG) of nickel. Volatile species of nickel were effectively generated through reduction of acidified analyte solution with KBH 4 in the presence of 0.02% DDTC and 25 mmol L -1 1-butyl-3-methylimidazolium bromide ([C 4 mim]Br) at room temperature. Thus, a new flow injection (FI)-CVG-atomic fluorescence spectrometric (FI-CVG-AFS) method was developed for determination of nickel with a detection limit of 0.65 μg L -1 (3 s) and a sampling frequency of 180 h -1 . With consumption of 0.5 mL sample solution, an enhancement factor of 2400 was obtained. The precision (RSD) for eleven replicate determinations of 20 μg L -1 Ni was 3.4%. The developed FI-CVG-AFS method was successfully applied to determination of trace Ni in several certified biological reference materials.

  18. Prediction model for initial point of net vapor generation for low-flow boiling

    International Nuclear Information System (INIS)

    Sun Qi; Zhao Hua; Yang Ruichang

    2003-01-01

    The prediction of the initial point of net vapor generation is significant for the calculation of phase distribution in sub-cooled boiling. However, most of the investigations were developed in high-flow boiling, and there is no common model that could be successfully applied for the low-flow boiling. A predictive model for the initial point of net vapor generation for low-flow forced convection and natural circulation is established here, by the analysis of evaporation and condensation heat transfer. The comparison between experimental data and calculated results shows that this model can predict the net vapor generation point successfully in low-flow sub-cooled boiling

  19. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  20. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  1. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  5. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  6. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  7. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  8. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  9. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  10. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  11. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    Science.gov (United States)

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  12. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  13. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  14. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  15. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  16. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  17. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  18. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  19. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  20. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  1. Universal method for effusive-flow characterization target ion source/vapor transport systems for radioactive ion beam generation (abstract)

    International Nuclear Information System (INIS)

    Alton, G.D.; Bilheux, J.-C.; Liu, Y.; Cole, J. A.; Williams, C.

    2004-01-01

    Worldwide interest in the use of accelerated radioactive ion beams (RIBs) for exploring reactions important in understanding the structure of the nucleus and nuclear astrophysical phenomena has motivated the construction of facilities dedicated to their production and acceleration. Many facilities utilize the isotope-separator-on-line (ISOL) method in which species of interest are generated within a solid or liquid target matrix. Experimentally useful RIBs are often difficult to generate by this technique because of the times required for diffusion from the interior of the target material, and to effusively transport the species of interest to the ion source following diffusion release in relation to its lifetime. Therefore, these delay times must be minimized. We have developed an experimental method that can be used to determine effusive-flow times of arbitrary geometry target/vapor transport systems. The technique utilizes a fast valve to measure effusive-flow times as short as 0.1 ms for any chemically active or inactive species through any target system, independent of size, geometry and materials of construction. In this report, we provide a theoretical basis for effusive flow through arbitrary geometry vapor transport systems, describe a universal experimental apparatus for measuring effusive-flow times, and provide time spectra for noble gases through prototype RIB target/vapor-transport systems

  2. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  3. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  4. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  5. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  6. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  7. Second-harmonic generation in atomic vapor with picosecond laser pulses

    International Nuclear Information System (INIS)

    Kim, D.; Mullin, C.S.; Shen, Y.R.

    1997-01-01

    Picosecond laser pulses were used to study the highly forbidden resonant second-harmonic generation (SHG) in potassium vapor. The input intensity dependence, vapor density dependence, buffer-gas pressure dependence, and spatial profile of the SHG were measured. A pump - probe experiment was conducted to probe the time dependence of the SHG signal. The experimental results can be understood from an ionization-initiated dc-field-induced SHG model. A theory of a dc-field-induced SHG model is developed that takes into account the time development of the dc electric field in detail. This temporal buildup of the dc field along with transient coherent excitation between two-photon-allowed transitions can explain the experimental results quantitatively, including the previous vapor SHG results with nanosecond laser pulses. copyright 1997 Optical Society of America

  8. The determination of the initial point of net vapor generation in flow subcooled boiling

    International Nuclear Information System (INIS)

    Yan Changqi; Sun Zhongning

    2000-01-01

    The experimental results for the initial point of net vapor generation in up-flow subcooled boiling in an internally-heated annulus are given. The characteristics of the initial point of net vapor generation and the problem on gamma ray attenuation measurement are discussed. The comparison between the data and a calculation model is given, it is showed that the data agree well with the model

  9. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  10. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  11. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  12. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  13. A Microdrop Generator for the Calibration of a Water Vapor Isotope Ratio Spectrometer

    NARCIS (Netherlands)

    Iannone, Rosario Q.; Romanini, Daniele; Kassi, Samir; Meijer, Harro A. J.; Kerstel, Erik R. Th.

    A microdrop generator is described that produces water vapor with a known isotopic composition and volume mixing ratio for the calibration of a near-infrared diode laser water isotope ratio spectrometer. The spectrometer is designed to measure in situ the water vapor deuterium and oxygen ((17)O and

  14. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  15. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  16. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  17. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  18. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  19. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  20. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  1. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  2. Vapor generator equipped with a migrant body trapping device

    International Nuclear Information System (INIS)

    Pascal, Y.

    1994-01-01

    In a nuclear plant vapor generator, a device is foreseen to prevent objects coming from dryers, further to assembly, to subsequent interventions or to a fortuitous removal when operating, from coming jam between generator tubes. This device, which for example looks like a grid, is put above an horizontal plate to which are fixed the high ends of the pipes containing the cyclone separators. It determines crossings whose higher dimensions are lower to the minimal gap separating the tubes. (Author). 2 figs., 3 refs

  3. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  4. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  5. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  6. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  7. Method of estimating changes in vapor concentrations continuously generated from two-component organic solvents.

    Science.gov (United States)

    Hori, Hajime; Ishidao, Toru; Ishimatsu, Sumiyo

    2010-12-01

    We measured vapor concentrations continuously evaporated from two-component organic solvents in a reservoir and proposed a method to estimate and predict the evaporation rate or generated vapor concentrations. Two kinds of organic solvents were put into a small reservoir made of glass (3 cm in diameter and 3 cm high) that was installed in a cylindrical glass vessel (10 cm in diameter and 15 cm high). Air was introduced into the glass vessel at a flow rate of 150 ml/min, and the generated vapor concentrations were intermittently monitored for up to 5 hours with a gas chromatograph equipped with a flame ionization detector. The solvent systems tested in this study were the methanoltoluene system and the ethyl acetate-toluene system. The vapor concentrations of the more volatile component, that is, methanol in the methanol-toluene system and ethyl acetate in the ethyl acetate-toluene system, were high at first, and then decreased with time. On the other hand, the concentrations of the less volatile component were low at first, and then increased with time. A model for estimating multicomponent organic vapor concentrations was developed, based on a theory of vapor-liquid equilibria and a theory of the mass transfer rate, and estimated values were compared with experimental ones. The estimated vapor concentrations were in relatively good agreement with the experimental ones. The results suggest that changes in concentrations of two-component organic vapors continuously evaporating from a liquid reservoir can be estimated by the proposed model.

  8. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. The ion mobility spectrometer for high explosive vapor detection

    International Nuclear Information System (INIS)

    Cohen, M.J.; Stimac, R.M.; Wernlund, R.F.

    1984-01-01

    The Phemto-Chem /SUP R/ Model 100 Ion Mobility Spectrometer (IMS) operates in air and measures a number of explosive vapors at levels as low as partsper-trillion in seconds. The theory and operation of this instrument is discussed. The IMS inhales the vapor sample in a current of air and generates characteristic ions which are separated by time-of -ion drift in the atmospheric pressure gas. Quantitative results, using a dilution tunnel and standard signal generator with TNT, nitroglycerine, ethylene glycol dinitrate, cyclohexanone, methylamine, octafluoronaphthalene and hexafluorobenzene, are given. Rapid sample treatment with sample concentrations, microprocessor signal readout and chemical identification, offer a realistic opportunity of rapid explosive vapor detection at levels down to 10 -14 parts by volume in air

  11. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  12. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  13. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  14. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  15. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  16. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  17. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  18. Green method for ultrasensitive determination of Hg in natural waters by electrothermal-atomic absorption spectrometry following sono-induced cold vapor generation and 'in-atomizer trapping'

    International Nuclear Information System (INIS)

    Gil, Sandra; Lavilla, Isela; Bendicho, Carlos

    2007-01-01

    Sono-induced cold vapor generation (SI-CVG) has been used for the first time in combination with a graphite furnace atomizer for determination of Hg in natural waters by electrothermal-atomic absorption spectrometry after in situ trapping onto a noble metal-pretreated platform (Pd, Pt or Rh) inserted into a graphite tube. The system allows 'in-atomizer trapping' of Hg without the use of conventional reduction reactions based on sodium borohydride or tin chloride in acid medium for cold vapor generation. The sono-induced reaction is accomplished by applying ultrasound irradiation to the sample solution containing Hg(II) in the presence of an organic compound such as formic acid. As this organic acid is partly degraded upon ultrasound irradiation to yield CO, CO 2 , H 2 and H 2 O, the amount of lab wastes is minimized and a green methodology is achieved. For this purpose, experimental variables influencing the generation/trapping process are fully investigated. The limit of detection for a 10 min trapping time and 10 mL sample volume was 0.03 μg L -1 (Integrated absorbance) and the repeatability expressed as relative standard deviation was about 3%. Carbonates and chlorides at 100 mg L -1 level caused a signal depression by 20-30%. The enhanced trapping efficiency observed with the sono-induced cold vapor generation as compared with 'in-atomizer trapping' methods employing chemical vapor generation is discussed. A reaction pathway for SI-CVG is proposed on the basis of the current knowledge for synthesis of noble metal nanoparticles by ultrasound

  19. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  20. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  1. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  2. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  3. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  4. Chemical vapor generation of silver for atomic absorption spectrometry with the multiatomizer: Radiotracer efficiency study and characterization of silver species

    Energy Technology Data Exchange (ETDEWEB)

    Musil, Stanislav [Institute of Analytical Chemistry of the ASCR, v.v.i., Videnska 1083, 142 20 Prague 4 (Czech Republic); Charles University in Prague, Faculty of Science, Dept. of Analytical Chemistry, Albertov 8, 128 43 Prague 2 (Czech Republic); Kratzer, Jan; Vobecky, Miloslav [Institute of Analytical Chemistry of the ASCR, v.v.i., Videnska 1083, 142 20 Prague 4 (Czech Republic); Hovorka, Jan [Charles University in Prague, Faculty of Science, Institute for Environmental Studies, Benatska 2, 128 01 Prague 2 (Czech Republic); Benada, Oldrich [Institute of Microbiology of the ASCR, v.v.i., Videnska 1083, 142 20 Prague 4 (Czech Republic); Matousek, Tomas, E-mail: matousek@biomed.cas.c [Institute of Analytical Chemistry of the ASCR, v.v.i., Videnska 1083, 142 20 Prague 4 (Czech Republic)

    2009-11-15

    Volatile Ag species were generated in flow injection arrangement from nitric acid environment in the presence of surfactants (Triton X-100 and Antifoam B) and permanent Pd deposits as the reaction modifiers. Atomic absorption spectrometry (AAS) with multiple microflame quartz tube atomizer heated to 900 deg. C was used for atomization; evidence was found for thermal mechanism of atomization. Relative and absolute limits of detection (3sigma, 250 mul sample loop) measured under optimized conditions were: 1.4 mug l{sup -1} and 0.35 ng, respectively. The efficiency of chemical vapor generation (CVG) as well as spatial distribution of residual analyte in the apparatus was studied by {sup 111}Ag radioactive indicator (half-life 7.45 days) of high specific activity. It was found out that 23% of analyte was released into the gaseous phase. However, only 8% was found on filters placed at the entrance to the atomizer due to transport losses. About 40% of analyte remained in waste liquid, whereas the rest was found deposited over the CVG system. Presented study follows the hypothesis that the 'volatile' Ag species are actually metallic nanoparticles formed upon reduction in liquid phase and then released with good efficiency to the gaseous phase. Number/charge size distributions of dry aerosol were determined by Scanning Mobility Particle Sizer. Ag was detected in 40-45 nm particles holding 10 times more charge if compared to Boltzmann equilibrium. At the same time, Ag was also present on 150 nm particles, the main size mode of the CVG generator. The increase of Ag in standards was reflected by proportional increase in particle number/charge for 40-45 nm size particles only. Transmission electron microscopy revealed particles of 8 +- 2 nm sampled from the gaseous phase, which were associated in isolated clusters of few to few tens of nanometres. Ag presence in those particles was confirmed by Energy Dispersive X-ray Spectroscopy (EDS) analysis.

  5. A new vapor generation system for mercury species based on the UV irradiation of mercaptoethanol used in the determination of total and methyl mercury in environmental and biological samples by atomic fluorescence spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Yin, Yanmin; Qiu, Jianhua; Yang, Limin [College of Chemistry and Chemical Engineering, Xiamen University, Department of Chemistry and the MOE Key Laboratory of Analytical Sciences, Xiamen (China); Wang, Qiuquan [College of Chemistry and Chemical Engineering, Xiamen University, Department of Chemistry and the MOE Key Laboratory of Analytical Sciences, Xiamen (China); Xiamen University, State Key Laboratory of Marine Environmental Science, Xiamen (China)

    2007-06-15

    A new vapor generation system for mercury (Hg) species based on the irradiation of mercaptoethanol (ME) with UV was developed to provide an effective sample introduction unit for atomic fluorescence spectrometry (AFS). Preliminary investigations of the mechanism of this novel vapor generation system were based on GC-MS and FT-IR studies. Under optimum conditions, the limits of determination for inorganic divalence mercury and methyl mercury were 60 and 50 pg mL{sup -1}, respectively. Certified reference materials (BCR 463 tuna fish and BCR 580 estuarine sediment) were used to validate this new method, and the results agreed well with certified values. This new system provides an attractive alternative method of chemical vapor generation (CVG) of mercury species compared to other developed CVG systems (for example, the traditional KBH{sub 4}/NaOH-acid system). To our knowledge, this is the first systematic report on UV/ME-based Hg species vapor generation and the determination of total and methyl Hg in environmental and biological samples using UV/ME-AFS. (orig.)

  6. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  7. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  8. Advancements in oxygen generation and humidity control by water vapor electrolysis

    Science.gov (United States)

    Heppner, D. B.; Sudar, M.; Lee, M. C.

    1988-01-01

    Regenerative processes for the revitalization of manned spacecraft atmospheres or other manned habitats are essential for realization of long-term space missions. These processes include oxygen generation through water electrolysis. One promising technique of water electrolysis is the direct conversion of the water vapor contained in the cabin air to oxygen. This technique is the subject of the present program on water vapor electrolysis development. The objectives were to incorporate technology improvements developed under other similar electrochemical programs and add new ones; design and fabricate a mutli-cell electrochemical module and a testing facility; and demonstrate through testing the improvements. Each aspect of the water vapor electrolysis cell was reviewed. The materials of construction and sizing of each element were investigated analytically and sometime experimentally. In addition, operational considerations such as temperature control in response to inlet conditions were investigated. Three specific quantitative goals were established.

  9. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  10. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  11. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  12. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  13. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  14. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  15. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  16. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  17. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  18. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  19. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  20. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  1. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  2. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  3. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  4. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  5. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  6. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  7. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  8. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  9. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  10. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  11. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  12. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  13. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. UV light-emitting-diode photochemical mercury vapor generation for atomic fluorescence spectrometry.

    Science.gov (United States)

    Hou, Xiaoling; Ai, Xi; Jiang, Xiaoming; Deng, Pengchi; Zheng, Chengbin; Lv, Yi

    2012-02-07

    A new, miniaturized and low power consumption photochemical vapor generation (PVG) technique utilizing an ultraviolet light-emitting diode (UV-LED) lamp is described, and further validated via the determination of trace mercury. In the presence of formic acid, the mercury cold vapor is favourably generated from Hg(2+) solutions by UV-LED irradiation, and then rapidly transported to an atomic fluorescence spectrometer for detection. Optimum conditions for PVG and interferences from concomitant elements were investigated in detail. Under optimum conditions, a limit of detection (LOD) of 0.01 μg L(-1) was obtained, and the precision was better than 3.2% (n = 11, RSD) at 1 μg L(-1) Hg(2+). No obvious interferences from any common ions were evident. The methodology was successfully applied to the determination of mercury in National Research Council Canada DORM-3 fish muscle tissue and several water samples.

  15. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  17. 40 CFR 796.1950 - Vapor pressure.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) CHEMICAL FATE TESTING GUIDELINES Physical and Chemical Properties § 796.1950 Vapor pressure. (a.... In addition, chemicals that are likely to be gases at ambient temperatures and which have low water... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more...

  18. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  19. Chemical heat pump and chemical energy storage system

    Science.gov (United States)

    Clark, Edward C.; Huxtable, Douglas D.

    1985-08-06

    A chemical heat pump and storage system employs sulfuric acid and water. In one form, the system includes a generator and condenser, an evaporator and absorber, aqueous acid solution storage and water storage. During a charging cycle, heat is provided to the generator from a heat source to concentrate the acid solution while heat is removed from the condenser to condense the water vapor produced in the generator. Water is then stored in the storage tank. Heat is thus stored in the form of chemical energy in the concentrated acid. The heat removed from the water vapor can be supplied to a heat load of proper temperature or can be rejected. During a discharge cycle, water in the evaporator is supplied with heat to generate water vapor, which is transmitted to the absorber where it is condensed and absorbed into the concentrated acid. Both heats of dilution and condensation of water are removed from the thus diluted acid. During the discharge cycle the system functions as a heat pump in which heat is added to the system at a low temperature and removed from the system at a high temperature. The diluted acid is stored in an acid storage tank or is routed directly to the generator for reconcentration. The generator, condenser, evaporator, and absorber all are operated under pressure conditions specified by the desired temperature levels for a given application. The storage tanks, however, can be maintained at or near ambient pressure conditions. In another form, the heat pump system is employed to provide usable heat from waste process heat by upgrading the temperature of the waste heat.

  20. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  1. How do organic vapors contribute to new-particle formation?

    CERN Document Server

    Donahue, Neil M; Chuang, Wayne; Riipinen, Ilona; Riccobono, Francesco; Schobesberger, Siegfried; Dommen, Josef; Baltensperger, Urs; Kulmala, Markku; Worsnop, Douglas R; Vehkamaki, Hanna

    2013-01-01

    Highly oxidised organic vapors can effectively stabilize sulphuric acid in heteronuclear clusters and drive new-particle formation. We present quantum chemical calculations of cluster stability, showing that multifunctional species can stabilize sulphuric acid and also present additional polar functional groups for subsequent cluster growth. We also model the multi-generation oxidation of vapors associated with secondary organic aerosol formation using a two-dimensional volatility basis set. The steady-state saturation ratios and absolute concentrations of extremely low volatility products are sufficient to drive new-particle formation with sulphuric acid at atmospherically relevant rates.

  2. Aerosol-assisted chemical vapor deposition of V2O5 cathodes with high rate capabilities for magnesium-ion batteries

    Science.gov (United States)

    Drosos, Charalampos; Jia, Chenglin; Mathew, Shiny; Palgrave, Robert G.; Moss, Benjamin; Kafizas, Andreas; Vernardou, Dimitra

    2018-04-01

    The growth of orthorhombic vanadium pentoxide nanostructures was accomplished using an aerosol-assisted chemical vapor deposition process. These materials showed excellent electrochemical performance for magnesium-ion storage in an aqueous electrolyte; showing specific discharge capacities of up to 427 mAh g-1 with a capacity retention of 82% after 2000 scans under a high specific current of 5.9 A g-1. The high rate capability suggested good structural stability and high reversibility. We believe the development of low-cost and large-area coating methods, such as the technique used herein, will be essential for the upscalable fabrication of next-generation rechargeable battery technologies.

  3. Measurement of gas transport properties for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1996-12-01

    In the chemical vapor infiltration (CVI) process for fabricating ceramic matrix composites (CMCs), transport of gas phase reactant into the fiber preform is a critical step. The transport can be driven by pressure or by concentration. This report describes methods for measuring this for CVI preforms and partially infiltrated composites. Results are presented for Nicalon fiber cloth layup preforms and composites, Nextel fiber braid preforms and composites, and a Nicalon fiber 3-D weave composite. The results are consistent with a percolating network model for gas transport in CVI preforms and composites. This model predicts inherent variability in local pore characteristics and transport properties, and therefore, in local densification during processing; this may lead to production of gastight composites.

  4. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  5. Health assessment of gasoline and fuel oxygenate vapors: generation and characterization of test materials.

    Science.gov (United States)

    Henley, Michael; Letinski, Daniel J; Carr, John; Caro, Mario L; Daughtrey, Wayne; White, Russell

    2014-11-01

    In compliance with the Clean Air Act regulations for fuel and fuel additive registration, the petroleum industry, additive manufacturers, and oxygenate manufacturers have conducted comparative toxicology testing on evaporative emissions of gasoline alone and gasoline containing fuel oxygenates. To mimic real world exposures, a generation method was developed that produced test material similar in composition to the re-fueling vapor from an automotive fuel tank at near maximum in-use temperatures. Gasoline vapor was generated by a single-step distillation from a 1000-gallon glass-lined kettle wherein approximately 15-23% of the starting material was slowly vaporized, separated, condensed and recovered as test article. This fraction was termed vapor condensate (VC) and was prepared for each of the seven test materials, namely: baseline gasoline alone (BGVC), or gasoline plus an ether (G/MTBE, G/ETBE, G/TAME, or G/DIPE), or gasoline plus an alcohol (G/EtOH or G/TBA). The VC test articles were used for the inhalation toxicology studies described in the accompanying series of papers in this journal. These studies included evaluations of subchronic toxicity, neurotoxicity, immunotoxicity, genotoxicity, reproductive and developmental toxicity. Results of these studies will be used for comparative risk assessments of gasoline and gasoline/oxygenate blends by the US Environmental Protection Agency. Copyright © 2014 Elsevier Inc. All rights reserved.

  6. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    Science.gov (United States)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  7. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  8. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  9. Two-phase flow modelling of a solar concentrator applied as ammonia vapor generator in an absorption refrigerator

    Energy Technology Data Exchange (ETDEWEB)

    Ortega, N. [Posgrado en Ingenieria (Energia), Universidad Nacional Autonoma de Mexico, Privada Xochicalco s/n, Temixco, Morelos 62580 (Mexico); Garcia-Valladares, O.; Best, R.; Gomez, V.H. [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Privada Xochicalco s/n, Temixco, Morelos 62580 (Mexico)

    2008-09-15

    A detailed one-dimensional numerical model describing the heat and fluid-dynamic behavior inside a compound parabolic concentrator (CPC) used as an ammonia vapor generator has been developed. The governing equations (continuity, momentum, and energy) inside the CPC absorber tube, together with the energy equation in the tube wall and the thermal analysis in the solar concentrator were solved. The computational method developed is useful for the solar vapor generator design applied to absorption cooling systems. The effect on the outlet temperature and vapor quality of a range of CPC design parameters was analyzed. These parameters were the acceptance half-angle and CPC length, the diameter and coating of the absorber tube, and the manufacture materials of the cover, the reflector, and the absorber tube. It was found that the most important design parameters in order to obtain a higher ammonia-water vapor production are, in order of priority: the reflector material, the absorber tube diameter, the selective surface, and the acceptance half-angle. The direct ammonia-water vapor generation resulting from a 35 m long CPC was coupled to an absorption refrigeration system model in order to determine the solar fraction, cooling capacity, coefficient of performance, and overall efficiency during a typical day of operation. The results show that approximately 3.8 kW of cooling at -10{sup o}C could be produced with solar and overall efficiencies up to 46.3% and 21.2%, respectively. (author)

  10. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    An electronic nose (e-nose) is a biologically inspired device designed to mimic the operation of the olfactory system. The e-nose utilizes a chemical sensor array consisting of broadly responsive vapor sensors, whose combined response produces a unique pattern for a given compound or mixture. The sensor array is inspired by the biological function of the receptor neurons found in the human olfactory system, which are inherently cross-reactive and respond to many different compounds. The use of an e-nose is an attractive approach to predict unknown odors and is used in many fields for quantitative and qualitative analysis. If properly designed, an e-nose has the potential to adapt to new odors it was not originally designed for through laboratory training and algorithm updates. This would eliminate the lengthy and costly R&D costs associated with materiel and product development. Although e-nose technology has been around for over two decades, much research is still being undertaken in order to find new and more diverse types of sensors. Graphene is a single-layer, 2D material comprised of carbon atoms arranged in a hexagonal lattice, with extraordinary electrical, mechanical, thermal and optical properties due to its 2D, sp2-bonded structure. Graphene has much potential as a chemical sensing material due to its 2D structure, which provides a surface entirely exposed to its surrounding environment. In this configuration, every carbon atom in graphene is a surface atom, providing the greatest possible surface area per unit volume, so that electron transport is highly sensitive to adsorbed molecular species. Graphene has gained much attention since its discovery in 2004, but has not been realized in many commercial electronics. It has the potential to be a revolutionary material for use in chemical sensors due to its excellent conductivity, large surface area, low noise, and versatile surface for functionalization. In this work, graphene is incorporated into a

  11. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  12. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  13. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  14. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  15. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    Science.gov (United States)

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  16. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    Science.gov (United States)

    2018-04-27

    Final 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing 5a. CONTRACT...NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING...ORGANIZATION NAME(S) AND ADDRESS(ES) US Army Dugway Proving Ground West Desert Test Center (TEDT-DPW) Dugway, UT 84022-5000 8. PERFORMING ORGANIZATION

  17. Chemical vapor infiltration of TiB{sub 2} composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and test the materials in a static bath and lab-scale Hall cell.

  18. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  19. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  20. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  1. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  2. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  3. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  4. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  5. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  6. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  7. An efficient laser vaporization source for chemically modified metal clusters characterized by thermodynamics and kinetics

    Science.gov (United States)

    Masubuchi, Tsugunosuke; Eckhard, Jan F.; Lange, Kathrin; Visser, Bradley; Tschurl, Martin; Heiz, Ulrich

    2018-02-01

    A laser vaporization cluster source that has a room for cluster aggregation and a reactor volume, each equipped with a pulsed valve, is presented for the efficient gas-phase production of chemically modified metal clusters. The performance of the cluster source is evaluated through the production of Ta and Ta oxide cluster cations, TaxOy+ (y ≥ 0). It is demonstrated that the cluster source produces TaxOy+ over a wide mass range, the metal-to-oxygen ratio of which can easily be controlled by changing the pulse duration that influences the amount of reactant O2 introduced into the cluster source. Reaction kinetic modeling shows that the generation of the oxides takes place under thermalized conditions at less than 300 K, whereas metal cluster cores are presumably created with excess heat. These characteristics are also advantageous to yield "reaction intermediates" of interest via reactions between clusters and reactive molecules in the cluster source, which may subsequently be mass selected for their reactivity measurements.

  8. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  9. Determination of total mercury and methylmercury in biological samples by photochemical vapor generation

    Energy Technology Data Exchange (ETDEWEB)

    Vieira, Mariana A.; Ribeiro, Anderson S.; Curtius, Adilson J. [Universidade Federal de Santa Catarina, Departamento de Quimica, Florianopolis, SC (Brazil); Sturgeon, Ralph E. [National Research Council Canada, Institute for National Measurement Standards, Ottawa, ON (Canada)

    2007-06-15

    Cold vapor atomic absorption spectrometry (CV-AAS) based on photochemical reduction by exposure to UV radiation is described for the determination of methylmercury and total mercury in biological samples. Two approaches were investigated: (a) tissues were digested in either formic acid or tetramethylammonium hydroxide (TMAH), and total mercury was determined following reduction of both species by exposure of the solution to UV irradiation; (b) tissues were solubilized in TMAH, diluted to a final concentration of 0.125% m/v TMAH by addition of 10% v/v acetic acid and CH{sub 3}Hg{sup +} was selectively quantitated, or the initial digests were diluted to 0.125% m/v TMAH by addition of deionized water, adjusted to pH 0.3 by addition of HCl and CH{sub 3}Hg{sup +} was selectively quantitated. For each case, the optimum conditions for photochemical vapor generation (photo-CVG) were investigated. The photochemical reduction efficiency was estimated to be {proportional_to}95% by comparing the response with traditional SnCl{sub 2} chemical reduction. The method was validated by analysis of several biological Certified Reference Materials, DORM-1, DORM-2, DOLT-2 and DOLT-3, using calibration against aqueous solutions of Hg{sup 2+}; results showed good agreement with the certified values for total and methylmercury in all cases. Limits of detection of 6 ng/g for total mercury using formic acid, 8 ng/g for total mercury and 10 ng/g for methylmercury using TMAH were obtained. The proposed methodology is sensitive, simple and inexpensive, and promotes ''green'' chemistry. The potential for application to other sample types and analytes is evident. (orig.)

  10. A Citizen's Guide to Vapor Intrusion Mitigation

    Science.gov (United States)

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  11. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  12. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  13. Hot-wire chemical vapor synthesis for a variety of nano-materials with novel applications

    International Nuclear Information System (INIS)

    Dillon, A.C.; Mahan, A.H.; Deshpande, R.; Alleman, J.L.; Blackburn, J.L.; Parillia, P.A.; Heben, M.J.; Engtrakul, C.; Gilbert, K.E.H.; Jones, K.M.; To, R.; Lee, S-H.; Lehman, J.H.

    2006-01-01

    Hot-wire chemical vapor deposition (HWCVD) has been demonstrated as a simple economically scalable technique for the synthesis of a variety of nano-materials in an environmentally friendly manner. For example we have employed HWCVD for the continuous production of both carbon single- and multi-wall nanotubes (SWNTs and MWNTs). Unanticipated hydrogen storage on HWCVD-generated MWNTs has led insight into the adsorption mechanism of hydrogen on metal/carbon composites at near ambient temperatures that could be useful for developing a vehicular hydrogen storage system. Recent efforts have been focused on growing MWNT arrays on thin nickel films with a simple HWCVD process. New data suggests that these MWNT arrays could replace the gold black coatings currently used in pyroelectric detectors to accurately measure laser power. Finally, we have very recently employed HWCVD for the production of crystalline molybdenum and tungsten oxide nanotubes and nanorods. These metal oxide nanorods and nanotubes could have applications in catalysis, batteries and electrochromic windows or as gas sensors. A summary of the techniques for growing these novel materials and their various potential applications is provided

  14. Non-chromatographic speciation analysis of mercury by flow injection on-line preconcentration in combination with chemical vapor generation atomic fluorescence spectrometry

    International Nuclear Information System (INIS)

    Wu Hong; Jin Yan; Han Weiying; Miao, Qiang; Bi Shuping

    2006-01-01

    A novel non-chromatographic approach for direct speciation of mercury, based on the selective retention inorganic mercury and methylmercury on the inner wall of a knotted reactor by using ammonium diethyl dithiophosphate and dithizone as complexing agents respectively, was developed for flow injection on-line sorption preconcentration coupled with chemical vapor generation non-dispersive atomic fluorescence spectrometry. With the sample pH kept at 2.0, the preconcentration of inorganic mercury on the inner walls of the knotted reactor was carried out based on the exclusive retention of Hg-DDP complex in the presence of methylmercury via on-line merging the sample solution with ammonium diethyl dithiophosphate solution, and selective preconcentration methylmercury was achieved with dithizone instead of ammonium diethyl dithiophosphate. A 15% (v/v) HCl was introduced to elute the retained mercury species and merge with KBH 4 solution for atomic fluorescence spectrometry detection. Under the optimal experimental conditions, the sample throughputs of inorganic mercury and methylmercury were 30 and 20 h -1 with the enhancement factors of 13 and 24. The detection limits were found to be 3.6 ng l -1 for Hg 2+ and 2.0 ng l -1 for CH 3 Hg + . The precisions (RSD) for the 11 replicate measurements of each 0.2 μg l -1 of Hg 2+ and CH 3 Hg + were 2.2% and 2.8%, respectively. The developed method was validated by the analysis of certified reference materials (simulated natural water, rice flour and pork) and by recovery measurements on spiked samples, and was applied to the determination of inorganic mercury and methylmercury in biological and environmental water samples

  15. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  16. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  17. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  18. Generation and analysis of chemical compound libraries

    Science.gov (United States)

    Gregoire, John M.; Jin, Jian; Kan, Kevin S.; Marcin, Martin R.; Mitrovic, Slobodan; Newhouse, Paul F.; Suram, Santosh K.; Xiang, Chengxiang; Zhou, Lan

    2017-10-03

    Various samples are generated on a substrate. The samples each includes or consists of one or more analytes. In some instances, the samples are generated through the use of gels or through vapor deposition techniques. The samples are used in an instrument for screening large numbers of analytes by locating the samples between a working electrode and a counter electrode assembly. The instrument also includes one or more light sources for illuminating each of the samples. The instrument is configured to measure the photocurrent formed through a sample as a result of the illumination of the sample.

  19. Mass transport measurements and modeling for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Chiang, D.Y.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1997-12-01

    This project involves experimental and modeling investigation of densification behavior and mass transport in fiber preforms and partially densified composites, and application of these results to chemical vapor infiltration (CVI) process modeling. This supports work on-going at ORNL in process development for fabrication of ceramic matrix composite (CMC) tubes. Tube-shaped composite preforms are fabricated at ORNL with Nextel{trademark} 312 fiber (3M Corporation, St. Paul, MN) by placing and compressing several layers of braided sleeve on a tubular mandrel. In terms of fiber architecture these preforms are significantly different than those made previously with Nicalon{trademark} fiber (Nippon Carbon Corp., Tokyo, Japan) square weave cloth. The authors have made microstructure and permeability measurements on several of these preforms and a few partially densified composites so as to better understand their densification behavior during CVI.

  20. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  1. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  2. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  3. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    Science.gov (United States)

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  4. High-speed microjet generation using laser-induced vapor bubbles

    Science.gov (United States)

    Oudalov, Nikolai; Tagawa, Yoshiyuki; Peters, Ivo; Visser, Claas-Willem; van der Meer, Devaraj; Prosperetti, Andrea; Sun, Chao; Lohse, Detlef

    2011-11-01

    The generation and evolution of microjets are studied both experimentally and numerically. The jets are generated by focusing a laser pulse into a microscopic capillary tube (~50 μm) filled with water-based red dye. A vapor bubble is created instantly after shooting the laser (<1 μs), sending out a shockwave towards the curved free surface at which the high-speed microjet forms. The process of jet formation is captured using high-speed recordings at 1.0 × 106 fps. The velocity of the microjets can reach speeds of ~850 m/s while maintaining a very sharp geometry. The high-speed recordings enable us to study the effect of several parameters on the jet velocity, e.g. the absorbed energy and the distance between the laser spot and the free surface.The results show a clear dependence on these variables, even for supersonic speeds. Comparisons with numerical simulations confirm the nature of these dependencies.

  5. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  6. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  7. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  8. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  9. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  10. Chemical generation of iodine atoms

    Energy Technology Data Exchange (ETDEWEB)

    Hewett, Kevin B. [Directed Energy Directorate, Air Force Research Laboratory, 3550 Aberdeen Avenue SE, Kirtland AFB, NM 87117-5776 (United States)]. E-mail: kevin.hewett@kirtland.af.mil; Hager, Gordon D. [Directed Energy Directorate, Air Force Research Laboratory, 3550 Aberdeen Avenue SE, Kirtland AFB, NM 87117-5776 (United States); Crowell, Peter G. [Northrup Grumman Information Technology, Science and Technology Operating Unit, Advanced Technology Division, P.O. Box 9377, Albuquerque, NM 87119-9377 (United States)

    2005-01-10

    The chemical generation of atomic iodine using a chemical combustor to generate the atomic fluorine intermediate, from the reaction of F{sub 2} + H{sub 2}, followed by the production of atomic iodine, from the reaction of F + HI, was investigated. The maximum conversion efficiency of HI into atomic iodine was observed to be approximately 75%, which is in good agreement with the theoretical model. The conversion efficiency is limited by the formation of iodine monofluoride at the walls of the combustor where the gas phase temperature is insufficient to dissociate the IF.

  11. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  12. Study on onset of nucleate boiling and net vapor generation point in subcooled flow boiling

    International Nuclear Information System (INIS)

    Ohtake, Hiroyasu; Wada, Noriyoshi; Koizumi, Yasuo

    2002-01-01

    The onset of nucleate boiling (ONB) and the point of net vapor generation on subcooled flow boiling, focusing on liquid subcooling and liquid velocity were investigated experimentally and analytically. Experiments were conducted using a copper thin-film (35μm) and subcooled water in a range of the liquid velocity from 0.27 to 4.6 m/s at 0.10MPa. The liquid subcoolings were 20, 30 and 40K, respectively. Temperatures at the onset of nucleate boiling obtained in the experiments increased with the liquid subcoolings and the liquid velocities. The increases in the temperature of ONB were represented with the classical stability theory of preexisting nuclei. The measured results of the net vapor generation agreed well with the results of correlation by Saha and Zuber in the range of the present experiments. (J.P.N.)

  13. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  14. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  15. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  16. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  17. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  18. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  19. Non-chromatographic speciation analysis of mercury by flow injection on-line preconcentration in combination with chemical vapor generation atomic fluorescence spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Wu Hong [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Department of Chemistry, Xuzhou Normal University, Xuzhou 221116 (China); Jin Yan [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Han Weiying [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Miao, Qiang [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Bi Shuping [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China)]. E-mail: bisp@nju.edu.cn

    2006-07-15

    A novel non-chromatographic approach for direct speciation of mercury, based on the selective retention inorganic mercury and methylmercury on the inner wall of a knotted reactor by using ammonium diethyl dithiophosphate and dithizone as complexing agents respectively, was developed for flow injection on-line sorption preconcentration coupled with chemical vapor generation non-dispersive atomic fluorescence spectrometry. With the sample pH kept at 2.0, the preconcentration of inorganic mercury on the inner walls of the knotted reactor was carried out based on the exclusive retention of Hg-DDP complex in the presence of methylmercury via on-line merging the sample solution with ammonium diethyl dithiophosphate solution, and selective preconcentration methylmercury was achieved with dithizone instead of ammonium diethyl dithiophosphate. A 15% (v/v) HCl was introduced to elute the retained mercury species and merge with KBH{sub 4} solution for atomic fluorescence spectrometry detection. Under the optimal experimental conditions, the sample throughputs of inorganic mercury and methylmercury were 30 and 20 h{sup -1} with the enhancement factors of 13 and 24. The detection limits were found to be 3.6 ng l{sup -1} for Hg{sup 2+} and 2.0 ng l{sup -1} for CH{sub 3}Hg{sup +}. The precisions (RSD) for the 11 replicate measurements of each 0.2 {mu}g l{sup -1} of Hg{sup 2+} and CH{sub 3}Hg{sup +} were 2.2% and 2.8%, respectively. The developed method was validated by the analysis of certified reference materials (simulated natural water, rice flour and pork) and by recovery measurements on spiked samples, and was applied to the determination of inorganic mercury and methylmercury in biological and environmental water samples.

  20. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  1. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  2. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  3. Ga N nano wires and nano tubes growth by chemical vapor deposition method at different NH{sub 3} flow rate

    Energy Technology Data Exchange (ETDEWEB)

    Li, P.; Liu, Y.; Meng, X. [Wuhan University, School of Physics and Technology, Key Laboratory of Artificial Micro and Nanostructures of Ministry of Education, Wuhan 430072 (China)

    2016-11-01

    Ga N nano wires and nano tubes have been successfully synthesized via the simple chemical vapor deposition method. NH{sub 3} flow rate was found to be a crucial factor in the synthesis of different type of Ga N which affects the shape and the diameter of generated Ga N nano structures. X-ray diffraction confirms that Ga N nano wires grown on Si(111) substrate under 900 degrees Celsius and with NH{sub 3} flow rate of 50 sc cm presents the preferred orientation growth in the (002) direction. It is beneficial to the growth of nano structure through catalyst annealing. Transmission electron microscopy and scanning electron microscopy were used to measure the size and structures of the samples. (Author)

  4. Generation of real-time mode high-resolution water vapor fields from GPS observations

    Science.gov (United States)

    Yu, Chen; Penna, Nigel T.; Li, Zhenhong

    2017-02-01

    Pointwise GPS measurements of tropospheric zenith total delay can be interpolated to provide high-resolution water vapor maps which may be used for correcting synthetic aperture radar images, for numeral weather prediction, and for correcting Network Real-time Kinematic GPS observations. Several previous studies have addressed the importance of the elevation dependency of water vapor, but it is often a challenge to separate elevation-dependent tropospheric delays from turbulent components. In this paper, we present an iterative tropospheric decomposition interpolation model that decouples the elevation and turbulent tropospheric delay components. For a 150 km × 150 km California study region, we estimate real-time mode zenith total delays at 41 GPS stations over 1 year by using the precise point positioning technique and demonstrate that the decoupled interpolation model generates improved high-resolution tropospheric delay maps compared with previous tropospheric turbulence- and elevation-dependent models. Cross validation of the GPS zenith total delays yields an RMS error of 4.6 mm with the decoupled interpolation model, compared with 8.4 mm with the previous model. On converting the GPS zenith wet delays to precipitable water vapor and interpolating to 1 km grid cells across the region, validations with the Moderate Resolution Imaging Spectroradiometer near-IR water vapor product show 1.7 mm RMS differences by using the decoupled model, compared with 2.0 mm for the previous interpolation model. Such results are obtained without differencing the tropospheric delays or water vapor estimates in time or space, while the errors are similar over flat and mountainous terrains, as well as for both inland and coastal areas.

  5. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  6. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    Science.gov (United States)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  7. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damaging the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.

  8. A quantitative infrared spectral library of vapor phase chemicals: applications to environmental monitoring and homeland defense

    Science.gov (United States)

    Sharpe, Steven W.; Johnson, Timothy J.; Sams, Robert L.

    2004-12-01

    The utility of infrared spectroscopy for monitoring and early warning of accidental or deliberate chemical releases to the atmosphere is well documented. Regardless of the monitoring technique (open-path or extractive) or weather the spectrometer is passive or active (Fourier transform or lidar) a high quality, quantitative reference library is essential for meaningful interpretation of the data. Pacific Northwest National Laboratory through the support of the Department of Energy has been building a library of pure, vapor phase chemical species for the last 4 years. This infrared spectral library currently contains over 300 chemicals and is expected to grow to over 400 chemicals before completion. The library spectra are based on a statistical fit to many spectra at different concentrations, allowing for rigorous error analysis. The contents of the library are focused on atmospheric pollutants, naturally occurring chemicals, toxic industrial chemicals and chemicals specifically designed to do damage. Applications, limitations and technical details of the spectral library will be discussed.

  9. Onset of a nucleate boiling and incipient point of net vapor generation in narrow channel

    International Nuclear Information System (INIS)

    Hong, G.

    2014-01-01

    An experimental study on onset of nucleate boiling (ONB) and incipient point of net vapor generation (IPNVG) in narrow rectangular channel was presented. Flow direction in the channel was vertical upward. The experimental results indicate that the classical correlations of ONB for conventional channels were not suitable for the present narrow rectangular channel. The wall superheat needed to initiate boiling is found to be higher for the same given values of heat and mass flux. The experimental results of IPNVG indicate that the heat flux, triggering net vapor generation in narrow rectangular channel, is litter lower than that calculated by correlations for conventional channels. The relative prediction error of qIPNVG by Griffith model, Saha model and Sun model ranges from -17.9% to +9.6%. A new correlation was developed to predict the ONB in narrow rectangular channel. The proposed correlation predictions agreed well with the experimental data. (author)

  10. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  11. Hanford Tank Farm Vapors Abatement Technology and Vendor Proposals Assessment

    International Nuclear Information System (INIS)

    Burns, H. H.; Farrar, M. E.; Fink, S. D.

    2016-01-01

    Suspected chemical vapor releases from the Hanford nuclear waste tank system pose concerns for worker exposure. Washington River Protection Solutions (WRPS) contracted the Savannah River National Laboratory (SRNL) to explore abatement technologies and strategies to remediate the vapors emitted through the ventilation system. In response, SRNL conducted an evaluation of technologies to abate, or reduce, vapor emissions to below 10% of the recognized occupational exposure limits (OELs). The evaluation included a review of published literature and a broadly communicated Request for Information to commercial vendors through a Federal Business Opportunities (Fed Biz Opps) web posting. In addition, SRNL conducted a workshop and post-workshop conference calls with interested suppliers (vendors) to assess proposals of relevant technologies. This report reviews applicable technologies and summarizes the approaches proposed by the vendors who participated in the workshop and teleconference interviews. In addition, the report evaluates the estimated performance of the individual technologies for the various classes of chemical compounds present in the Hanford Chemicals of Potential Concern (COPCs) list. Similarly, the report provides a relative evaluation of the vendor proposed approaches against criteria of: technical feasibility (and maturity), design features, operational considerations, secondary waste generation, safety/regulatory, and cost / schedule. These rough order-of-magnitude (ROM) cost estimates are intended to provide a comparison basis between technologies and are not intended to be actual project estimates.

  12. Hanford Tank Farm Vapors Abatement Technology and Vendor Proposals Assessment

    Energy Technology Data Exchange (ETDEWEB)

    Burns, H. H. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Farrar, M. E. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Fink, S. D. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2016-09-20

    Suspected chemical vapor releases from the Hanford nuclear waste tank system pose concerns for worker exposure. Washington River Protection Solutions (WRPS) contracted the Savannah River National Laboratory (SRNL) to explore abatement technologies and strategies to remediate the vapors emitted through the ventilation system. In response, SRNL conducted an evaluation of technologies to abate, or reduce, vapor emissions to below 10% of the recognized occupational exposure limits (OELs). The evaluation included a review of published literature and a broadly communicated Request for Information to commercial vendors through a Federal Business Opportunities (Fed Biz Opps) web posting. In addition, SRNL conducted a workshop and post-workshop conference calls with interested suppliers (vendors) to assess proposals of relevant technologies. This report reviews applicable technologies and summarizes the approaches proposed by the vendors who participated in the workshop and teleconference interviews. In addition, the report evaluates the estimated performance of the individual technologies for the various classes of chemical compounds present in the Hanford Chemicals of Potential Concern (COPCs) list. Similarly, the report provides a relative evaluation of the vendor proposed approaches against criteria of: technical feasibility (and maturity), design features, operational considerations, secondary waste generation, safety/regulatory, and cost / schedule. These rough order-of-magnitude (ROM) cost estimates are intended to provide a comparison basis between technologies and are not intended to be actual project estimates.

  13. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  14. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  15. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  16. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  17. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  18. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    Energy Technology Data Exchange (ETDEWEB)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization which have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.

  19. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  20. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    Science.gov (United States)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  1. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  2. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  3. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  5. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  6. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  7. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  8. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  9. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  10. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  11. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  12. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  13. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  14. Nonradioactive Environmental Emissions Chemical Source Term for the Double-Shell Tank (DST) Vapor Space During Waste Retrieval Operations

    International Nuclear Information System (INIS)

    MAY, T.H.

    2000-01-01

    A nonradioactive chemical vapor space source term for tanks on the Phase 1 and the extended Phase 1 delivery, storage, and disposal mission was determined. Operations modeled included mixer pump operation and DST waste transfers. Concentrations of ammonia, specific volatile organic compounds, and quantitative volumes of aerosols were estimated

  15. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  16. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  17. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  18. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  19. Vaporization of chemical species and the production of aerosols during a core debris/concrete interaction

    International Nuclear Information System (INIS)

    Butland, A.T.D.; Mignanelli, M.A.; Potter, P.E.; Smith, P.N.

    1987-01-01

    The equilibrium chemical composition within gas bubbles sparging through isothermal molten corium-concrete mixtures has been evaluated theoretically. A series of sensitivity calculations gives some insight into a number of factors which are of importance in determining the radionuclide and non-radioactive releases during core-concrete interaction. The degree of mixing or layering of the pool has turned out to be of paramount importance in determining the magnitudes of the releases. The presence of unoxidized zirconium in the melt tends to enhance the release of a number of species and the type of concrete used for the base mat can have a significant effect. The predictions can be sensitive to the thermodynamic data used in the calculations. The vaporization of various species into the gas bubbles can require large amounts of heat; the loss of this heat from the melt can have an effect on the extent of the vaporization

  20. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  1. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  2. Control of nanoparticle agglomeration through variation of the time-temperature profile in chemical vapor synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Djenadic, Ruzica; Winterer, Markus, E-mail: markus.winterer@uni-due.de [Universität Duisburg-Essen, Nanoparticle Process Technology, Faculty of Engineering and CENIDE (Germany)

    2017-02-15

    The influence of the time-temperature history on the characteristics of nanoparticles such as size, degree of agglomeration, or crystallinity is investigated for chemical vapor synthesis (CVS). A simple reaction-coagulation-sintering model is used to describe the CVS process, and the results of the model are compared to experimental data. Nanocrystalline titania is used as model material. Titania nanoparticles are generated from titanium-tetraisopropoxide (TTIP) in a hot-wall reactor. Pure anatase particles and mixtures of anatase, rutile (up to 11 vol.%), and brookite (up to 29 vol.%) with primary particle sizes from 1.7 nm to 10.5 nm and agglomerate particle sizes from 24.3 nm to 55.6 nm are formed depending on the particle time-temperature history. An inductively heated furnace with variable inductor geometry is used as a novel system to control the time-temperature profile in the reactor externally covering a large wall temperature range from 873 K to 2023 K. An appropriate choice of inductor geometry, i.e. time-temperature profile, can significantly reduce the degree of agglomeration. Other particle characteristics such as crystallinity are also substantially influenced by the time-temperature profile.

  3. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  4. Observation of Zn vacancies in ZnO grown by chemical vapor transport

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, F.; Saarinen, K. [Laboratory of Physics, Helsinki University of Technology, P.O. Box 1100, 02015 TKK (Finland); Grasza, K.; Mycielski, A. [Institute of Physics, Polish Academy of Sciences, Lotnikow 32/46, 02-668 Warsaw (Poland)

    2006-03-15

    We have used positron annihilation spectroscopy to study the vacancy defects in ZnO crystals grown by both the conventional and contactless chemical vapor transport (CVT and CCVT). Our results show that Zn vacancies or Zn vacancy related defects are present in as-grown ZnO, irrespective of the growth method. Zn vacancies are observed in CVT-grown undoped ZnO and (Zn,Mn)O. The Zn vacancies present in undoped CCVT-ZnO are the dominant negatively charged point defect in the material. Doping the material with As introduces also Zn vacancy-related defect complexes with larger open volume. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  6. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  7. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  8. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  9. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  10. Electrical properties of aluminum-doped zinc oxide (AZO) nanoparticles synthesized by chemical vapor synthesis

    International Nuclear Information System (INIS)

    Hartner, Sonja; Schulz, Christof; Wiggers, Hartmut; Ali, Moazzam; Winterer, Markus

    2009-01-01

    Aluminum-doped zinc oxide nanoparticles have been prepared by chemical vapor synthesis, which facilitates the incorporation of a higher percentage of dopant atoms, far above the thermodynamic solubility limit of aluminum. The electrical properties of aluminum-doped and undoped zinc oxide nanoparticles were investigated by impedance spectroscopy. The impedance is measured under hydrogen and synthetic air between 323 and 673 K. The measurements under hydrogen as well as under synthetic air show transport properties depending on temperature and doping level. Under hydrogen atmosphere, a decreasing conductivity with increasing dopant content is observed, which can be explained by enhanced scattering processes due to an increasing disorder in the nanocrystalline material. The temperature coefficient for the doped samples switches from positive temperature coefficient behavior to negative temperature coefficient behavior with increasing dopant concentration. In the presence of synthetic air, the conductivity firstly increases with increasing dopant content by six orders of magnitude. The origin of the increasing conductivity is the generation of free charge carriers upon dopant incorporation. It reaches its maximum at a concentration of 7.7% of aluminum, and drops for higher doping levels. In all cases, the conductivity under hydrogen is higher than under synthetic air and can be changed reversibly by changing the atmosphere.

  11. Electrical properties of aluminum-doped zinc oxide (AZO) nanoparticles synthesized by chemical vapor synthesis.

    Science.gov (United States)

    Hartner, Sonja; Ali, Moazzam; Schulz, Christof; Winterer, Markus; Wiggers, Hartmut

    2009-11-04

    Aluminum-doped zinc oxide nanoparticles have been prepared by chemical vapor synthesis, which facilitates the incorporation of a higher percentage of dopant atoms, far above the thermodynamic solubility limit of aluminum. The electrical properties of aluminum-doped and undoped zinc oxide nanoparticles were investigated by impedance spectroscopy. The impedance is measured under hydrogen and synthetic air between 323 and 673 K. The measurements under hydrogen as well as under synthetic air show transport properties depending on temperature and doping level. Under hydrogen atmosphere, a decreasing conductivity with increasing dopant content is observed, which can be explained by enhanced scattering processes due to an increasing disorder in the nanocrystalline material. The temperature coefficient for the doped samples switches from positive temperature coefficient behavior to negative temperature coefficient behavior with increasing dopant concentration. In the presence of synthetic air, the conductivity firstly increases with increasing dopant content by six orders of magnitude. The origin of the increasing conductivity is the generation of free charge carriers upon dopant incorporation. It reaches its maximum at a concentration of 7.7% of aluminum, and drops for higher doping levels. In all cases, the conductivity under hydrogen is higher than under synthetic air and can be changed reversibly by changing the atmosphere.

  12. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  13. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  14. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  15. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  16. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  17. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  18. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    Science.gov (United States)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  19. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  20. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  1. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  2. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  3. Characterization and electrolytic cleaning of poly(methyl methacrylate) residues on transferred chemical vapor deposited graphene

    Science.gov (United States)

    Sun, Jianbo; Finklea, Harry O.; Liu, Yuxin

    2017-03-01

    Poly(methyl methacrylate) (PMMA) residue has long been a critical challenge for practical applications of the transferred chemical vapor deposited (CVD) graphene. Thermal annealing is empirically used for the removal of the PMMA residue; however experiments imply that there are still small amounts of residues left after thermal annealing which are hard to remove with conventional methods. In this paper, the thermal degradation of the PMMA residue upon annealing was studied by Raman spectroscopy. The study reveals that post-annealing residues are generated by the elimination of methoxycarbonyl side chains in PMMA and are believed to be absorbed on graphene via the π-π interaction between the conjugated unsaturated carbon segments and graphene. The post-annealing residues are difficult to remove by further annealing in a non-oxidative atmosphere due to their thermal and chemical stability. An electrolytic cleaning method was shown to be effective in removing these post-annealing residues while preserving the underlying graphene lattice based on Raman spectroscopy and atomic force microscopy studies. Additionally, a solution-gated field effect transistor was used to study the transport properties of the transferred CVD graphene before thermal annealing, after thermal annealing, and after electrolytic cleaning, respectively. The results show that the carrier mobility was significantly improved, and that the p-doping was reduced by removing PMMA residues and post-annealing residues. These studies provide a more in-depth understanding on the thermal annealing process for the removal of the PMMA residues from transferred CVD graphene and a new approach to remove the post-annealing residues, resulting in a residue-free graphene.

  4. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  6. Response of the ionosphere to the injection of chemically reactive vapors

    International Nuclear Information System (INIS)

    Bernhardt, P.A.

    1976-05-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere, and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply and this rise causes a thermal expansion of the plasma and a further reduction in the local plasma concentration

  7. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  8. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  9. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  10. Dynamics of vapor bubbles growth at boiling resulting from enthalpy excess of the surrounding superheated liquid and sound pulses generated by bubbles

    Science.gov (United States)

    Dorofeev, B. M.; Volkova, V. I.

    2016-01-01

    The results of experiments investigating the exponential dependence of the vapor bubble radius on time at saturated boiling are generalized. Three different methods to obtain this dependence are suggested: (1) by the application of the transient heat conduction equation, (2) by using the correlations of energy conservation, and (3) by solving a similar electrodynamic problem. Based on the known experimental data, the accuracy of the dependence up to one percent and a few percent accuracy of its description based on the sound pressure generated by a vapor bubble have been determined. A significant divergence of the power dependence of the vapor bubble radius on time (with an exponent of 1/2) with the experimental results and its inadequacy for the description of the sound pulse generated by the bubble have been demonstrated.

  11. Comparative study of the vapor analytes of trinitrotoluene (TNT)

    Science.gov (United States)

    Edge, Cindy C.; Gibb, Julie; Dugan, Regina E.

    1998-12-01

    Trinitrotoluene (TNT) is a high explosive used in most antipersonnel and antitank landmines. The Institute for Biological Detection Systems (IBDS) has developed a quantitative vapor delivery system, termed olfactometer, for conducting canine olfactory research. The research is conducted utilizing dynamic conditions, therefore, it is imperative to evaluate the headspace of TNT to ensure consistency with the dynamic generation of vapor. This study quantified the vapor headspace of military- grade TNT utilizing two different vapor generated methodologies, static and dynamic, reflecting differences between field and laboratory environments. Static vapor collection, which closely mimics conditions found during field detection, is defined as vapor collected in an open-air environment at ambient temperature. Dynamic vapor collection incorporates trapping of gases from a high flow vapor generation cell used during olfactometer operation. Analysis of samples collected by the two methodologies was performed by gas chromatography/mass spectrometry and the results provided information with regard to the constituents detected. However, constituent concentration did vary between the sampling methods. This study provides essential information regarding the vapor constituents associated with the TNT sampled using different sampling methods. These differences may be important in determining the detection signature dogs use to recognize TNT.

  12. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Chason, E.; Karlson, M. [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States); Colin, J. J.; Abadias, G. [Institut P' , Département Physique et Mécanique des Matériaux, Université de Poitiers-CNRS-ENSMA, SP2MI, Téléport 2, Bd M. et P. Curie, F-86962 Chasseneuil-Futuroscope (France); Magnfält, D.; Sarakinos, K. [Nanoscale Engineering Division, Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2016-04-14

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on the grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.

  13. Design Evolution and Verification of the A-3 Chemical Steam Generator

    Science.gov (United States)

    Kirchner, Casey K.

    2009-01-01

    operate as expected. The generator which will undergo this testing is of the most recent A-3 configuration, and will be instrumented far in excess of what is normally required for operation. The extra data will allow for easier troubleshooting and more complete knowledge of expected generator performance. In addition, the early testing will give SSC personnel experience in operating the CSG systems, which will expedite the process of installation and activation at A-3. Each Chemical Steam Generator is supported by a complement of valves, instruments, and flow control devices, with the entire assembly called a "module." The generators will be installed in groups of three, historically called "units". A module is so called because of its modular ability to be replaced or serviced without disturbing the other two modules installed on the same unit. A module is pictured in Figure 1, shown with its generator secured by white bands in its shipping (vs. installed) configuration. The heritage system at WSTF is composed of a single unit (three generator modules), pictured in Figure 2 as it was installed in 1965. In contrast, A-3 will have nine units operating in parallel to achieve vacuum conditions appropriate for testing the J-2X engine. Each of the combustors operates in two modes and achieves the so-called "full-steam" mode after all three of its stages ignite. Ignition of the first stage is achieved by exciting a spark plug; the second stage and main stage are lit by the flame front of the previous stage. The main stage burns approximately 97% of the total propellant flow and uses the heat energy to vaporize water into superheated steam. While the main stage remains unlit, the combustor is in so-called "idle" mode. In the WSTF system, this idle mode is not optimized for water usage, and does not need to be, as the water is pumped from a large reservoir. The water supply at A-3 will be contained in tanks with finite volume, so water optimization is preferred for the modnized

  14. Effect of impact angle on vaporization

    Science.gov (United States)

    Schultz, Peter H.

    1996-09-01

    Impacts into easily vaporized targets such as dry ice and carbonates generate a rapidly expanding vapor cloud. Laboratory experiments performed in a tenuous atmosphere allow deriving the internal energy of this cloud through well-established and tested theoretical descriptions. A second set of experiments under near-vacuum conditions provides a second measure of energy as the internal energy converts to kinetic energy of expansion. The resulting data allow deriving the vaporized mass as a function of impact angle and velocity. Although peak shock pressures decrease with decreasing impact angle (referenced to horizontal), the amount of impact-generated vapor is found to increase and is derived from the upper surface. Moreover, the temperature of the vapor cloud appears to decrease with decreasing angle. These unexpected results are proposed to reflect the increasing roles of shear heating and downrange hypervelocity ricochet impacts created during oblique impacts. The shallow provenance, low temperature, and trajectory of such vapor have implications for larger-scale events, including enhancement of atmospheric and biospheric stress by oblique terrestrial impacts and impact recycling of the early atmosphere of Mars.

  15. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  16. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  17. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  18. Demand management in steam generation?; Administracion de la demanda en la generacion de vapor?

    Energy Technology Data Exchange (ETDEWEB)

    Plauchu L., A.; Plauchu A., J. A. [Ingenieros consultores (Mexico)

    1997-12-31

    Energy management has acquired capital importance in all the branches of the industrial activity and services either private o public and it has been, from years behind, more familiar the technology for the demand control in the electric power distribution systems, with excellent results in the energy conservation and economy. The rate of consumption for the different forms of energy shows the prevalence of the thermal energy in a variety of end uses. Not much or almost nothing has been said of the term Demand Management or Control in Steam Generation although 12% of the total energy supply is used for this purpose. Steam demand management and control can avoid unnecessary investments that bring along the increment of operational and maintenance problems and it is for sure that it will always give raise to a more rational and economical utilization of the real capacity of steam generation. A real case is commented. [Espanol] La administracion de la energia ha cobrado importancia capital en todos los giros de actividad industrial y de servicios, privados y publicos y es de varios anos atras cada vez mas familiar la tecnologia en el control de demanda en los sistemas de distribucion de energia electrica, con magnificos resultados en el ahorro, energetico y economico. La relacion de consumos para las diferentes formas de energia muestra el predominio de la energia termica en una variedad de usos finales. Poco o nada oimos del termino Administracion o Control de Demanda en la Generacion de Vapor aun cuando el 12% de la oferta total de energia tiene como destino esta aplicacion. La administracion y control de la demanda de vapor puede evitar inversiones innecesarias e incremento de problemas de operacion y mantenimiento y con seguridad reportaran siempre una utilizacion mas racional y economica de la capacidad real de generacion de vapor, se comenta un caso real.

  19. Demand management in steam generation?; Administracion de la demanda en la generacion de vapor?

    Energy Technology Data Exchange (ETDEWEB)

    Plauchu L, A; Plauchu A, J A [Ingenieros consultores (Mexico)

    1998-12-31

    Energy management has acquired capital importance in all the branches of the industrial activity and services either private o public and it has been, from years behind, more familiar the technology for the demand control in the electric power distribution systems, with excellent results in the energy conservation and economy. The rate of consumption for the different forms of energy shows the prevalence of the thermal energy in a variety of end uses. Not much or almost nothing has been said of the term Demand Management or Control in Steam Generation although 12% of the total energy supply is used for this purpose. Steam demand management and control can avoid unnecessary investments that bring along the increment of operational and maintenance problems and it is for sure that it will always give raise to a more rational and economical utilization of the real capacity of steam generation. A real case is commented. [Espanol] La administracion de la energia ha cobrado importancia capital en todos los giros de actividad industrial y de servicios, privados y publicos y es de varios anos atras cada vez mas familiar la tecnologia en el control de demanda en los sistemas de distribucion de energia electrica, con magnificos resultados en el ahorro, energetico y economico. La relacion de consumos para las diferentes formas de energia muestra el predominio de la energia termica en una variedad de usos finales. Poco o nada oimos del termino Administracion o Control de Demanda en la Generacion de Vapor aun cuando el 12% de la oferta total de energia tiene como destino esta aplicacion. La administracion y control de la demanda de vapor puede evitar inversiones innecesarias e incremento de problemas de operacion y mantenimiento y con seguridad reportaran siempre una utilizacion mas racional y economica de la capacidad real de generacion de vapor, se comenta un caso real.

  20. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  1. Photoelectrochemical energy conversion obtained with ultrathin organo-metallic-chemical-vapor-deposition layer of FeS2 (pyrite) on TiO2

    International Nuclear Information System (INIS)

    Ennaoui, A.; Fiechter, S.; Tributsch, H.; Giersig, M.; Vogel, R.; Weller, H.

    1992-01-01

    Ultrathin (10 to 20 nm thick), polycrystalline films of FeS 2 (pyrite) were grown on TiO 2 (anatase) by chemical vapor deposition. The FeS 2 films were characterized using optical absorption and high-resolution electron microscopy. Photoelectrochemical solar cells, using TiO 2 (anatase) coated with FeS 2 ultrathin films, generated high open-circuit photo-voltages, of up to 600 mV, compared with a single crystal of pyrite electrode (200 mV). The photoelectrochemical behavior shows a strong dependence of photovoltage and photocurrent on the pH of the solution. This paper reports that it is explained by electron injection from the conduction band of FeS 2 to the conduction band of TiO 2 . Regeneration of holes is taking place by electron transfer from the redox system in the electrolyte

  2. UV-photochemical vapor generation of selenium for atomic absorption spectrometry: Optimization and 75Se radiotracer efficiency study

    Czech Academy of Sciences Publication Activity Database

    Rybínová, M.; Musil, Stanislav; Červený, J.; Vobecký, Miloslav; Rychlovský, P.

    2016-01-01

    Roč. 123, SEP (2016), s. 134-142 ISSN 0584-8547 R&D Projects: GA ČR GA14-23532S Institutional support: RVO:68081715 Keywords : UV-photochemical vapor generation * Selenium * 75Se radiotracer Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 3.241, year: 2016

  3. Estimating enthalpy of vaporization from vapor pressure using Trouton's rule.

    Science.gov (United States)

    MacLeod, Matthew; Scheringer, Martin; Hungerbühler, Konrad

    2007-04-15

    The enthalpy of vaporization of liquids and subcooled liquids at 298 K (delta H(VAP)) is an important parameter in environmental fate assessments that consider spatial and temporal variability in environmental conditions. It has been shown that delta H(VAP)P for non-hydrogen-bonding substances can be estimated from vapor pressure at 298 K (P(L)) using an empirically derived linear relationship. Here, we demonstrate that the relationship between delta H(VAP)and PL is consistent with Trouton's rule and the ClausiusClapeyron equation under the assumption that delta H(VAP) is linearly dependent on temperature between 298 K and the boiling point temperature. Our interpretation based on Trouton's rule substantiates the empirical relationship between delta H(VAP) degree and P(L) degrees for non-hydrogen-bonding chemicals with subcooled liquid vapor pressures ranging over 15 orders of magnitude. We apply the relationship between delta H(VAP) degrees and P(L) degrees to evaluate data reported in literature reviews for several important classes of semivolatile environmental contaminants, including polycyclic aromatic hydrocarbons, chlorobenzenes, polychlorinated biphenyls and polychlorinated dibenzo-dioxins and -furans and illustrate the temperature dependence of results from a multimedia model presented as a partitioning map. The uncertainty associated with estimating delta H(VAP)degrees from P(L) degrees using this relationship is acceptable for most environmental fate modeling of non-hydrogen-bonding semivolatile organic chemicals.

  4. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  5. Investigation of ultraviolet photolysis vapor generation with in-atomizer trapping graphite furnace atomic absorption spectrometry for the determination of mercury

    Energy Technology Data Exchange (ETDEWEB)

    Madden, Jeremy T. [Department of Chemistry, Biochemistry, and Physics, Marist College, 3399 North Road, Poughkeepsie, NY 12601 (United States); Fitzgerald, Neil [Department of Chemistry, Biochemistry, and Physics, Marist College, 3399 North Road, Poughkeepsie, NY 12601 (United States)], E-mail: neil.fitzgerald@marist.edu

    2009-09-15

    Generation of mercury vapor by ultraviolet irradiation of mercury solutions in low molecular weight organic acid solutions prior to measurement by Atomic Absorption Spectrometry is a cheap, simple and green method for determination of trace concentrations of mercury. In this work mercury vapor generated by ultraviolet photolysis was trapped onto a palladium coated graphite furnace significantly improving the detection limit of the method. The system was optimized and a detection limit of 0.12 {mu}g L{sup - 1} (compared to 2.1 {mu}g L{sup - 1} for a previously reported system in the absence of trapping) with a precision of 11% for a 10 {mu}g L{sup - 1} mercury standard (RSD, N = 5)

  6. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing.

    Science.gov (United States)

    Piszter, Gábor; Kertész, Krisztián; Bálint, Zsolt; Biró, László Péter

    2016-09-07

    Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  7. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  8. Prediction of the vapor pressure and vaporization enthalpy of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids.

    Science.gov (United States)

    Diedenhofen, Michael; Klamt, Andreas; Marsh, Kenneth; Schäfer, Ansgar

    2007-09-07

    The vapor pressures and vaporization enthalpies of a series of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids have been predicted with two different approaches using the COSMO-RS method and quantum chemical gas phase calculations. While the calculated enthalpies are in good agreement with the experimental data, COSMO-RS seems to underestimate the vapor pressures by roughly 0.5-4 log units dependent on the IL and approach used.

  9. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Optimization of a single-drop microextraction method for multielemental determination by electrothermal vaporization inductively coupled plasma mass spectrometry following in situ vapor generation

    International Nuclear Information System (INIS)

    Gil, Sandra; Loos-Vollebregt, Margaretha T.C. de; Bendicho, Carlos

    2009-01-01

    A headspace single-drop microextraction (HS-SDME) method has been developed in combination with electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS) for the simultaneous determination of As, Sb, Bi, Pb, Sn and Hg in aqueous solutions. Vapor generation is carried out in a 40 mL volume closed-vial containing a solution with the target analytes in hydrochloric acid and potassium ferricyanide medium. Hydrides (As, Sb, Bi, Pb, Sn) and Hg vapor are trapped onto an aqueous single drop (3 μL volume) containing Pd(II), followed by the subsequent injection in the ETV. Experimental variables such as medium composition, sodium tetrahydroborate (III) volume and concentration, stirring rate, extraction time, sample volume, ascorbic acid concentration and palladium amount in the drop were fully optimized. The limits of detection (LOD) (3σ criterion) of the proposed method for As, Sb, Bi, Pb, Sn and Hg were 0.2, 0.04, 0.01, 0.07, 0.09 and 0.8 μg/L, respectively. Enrichment factors of 9, 85, 138, 130, 37 and 72 for As, Sb, Bi, Pb, Sn and Hg, respectively, were achieved in 210 s. The relative standard deviations (N = 5) ranged from 4 to 8%. The proposed HS-SDME-ETV-ICP-MS method has been applied for the determination of As, Sb, Bi, Pb, Sn and Hg in NWRI TM-28.3 certified reference material.

  11. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  12. Apparatus and method for thermal power generation

    International Nuclear Information System (INIS)

    Cohen, P.; Redding, A.H.

    1978-01-01

    An improved thermal power plant and method of power generation is described which minimizes thermal stress and chemical impurity buildup in the vaporizing component, particularly beneficial under loss of normal feed fluid and startup conditions. The invention is particularly applicable to a liquid metal fast breeder reactor plant

  13. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  14. Chemical cleaning - essential for optimal steam generator asset management

    International Nuclear Information System (INIS)

    Ammann, Franz

    2009-01-01

    Accumulation of deposits in Steam Generator is intrinsic during the operation of Pressurized Water Reactors. Such depositions lead to reduction of thermal performance, loss of component integrity and, in some cases, to power restrictions. Accordingly, removal of such deposits is an essential part of the asset management program of Steam Generators. Every plant has specific conditions, history and constraints which must be considered when planning and performing a chemical cleaning. Typical points are: -Constitution of the deposits or sludge - Sludge load - Sludge distribution in the steam generator - Existing or expected corrosion problems - Amount and tendency of fouling for waste treatment The strategy for chemical cleaning is developed from these points. The range of chemical cleaning treatments starts with very soft cleanings which can remove approximately 100kg per steam generator and ends with full scale, i.e., hard, cleanings which can remove several thousand kilograms of deposits from a steam generator. Dependent upon the desired goal for the operating plant and the steam generator material condition, the correct cleaning method can be selected. This requires flexible cleaning methods that can be adapted to the individual needs of a plant. Such customizing of chemical cleaning methods is a crucial factor for an optimized asset management program of steam generators in a nuclear power plant

  15. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  16. Chemical vapor deposition of aluminide coatings on iron, nickel and superalloys

    International Nuclear Information System (INIS)

    John, John T.; De, P.K.; Dubey, Vivekanand; Srinivasa, Raman

    2009-08-01

    Aluminide coatings are a class of intermetallic coatings applied on nickel and cobalt base superalloys and steels to protect them from different forms of environmental degradation at high temperatures. In this report a CVD system that can produce the aluminide coatings on iron, nickel and nickel base alloys has been described and the result of chemical vapor deposition of aluminide coatings on iron specimens, their characterization, and property evaluation have been presented. The CVD system consists of an AlCl 3 bath, a stainless steel retort as a hot-wall reacto, cold traps and vacuum system. Aluminium chloride vapor was carried in a stream of hydrogen gas at a flow rate of 150 SCCM (standard cubic centimeter per minute) into the CVD reactor maintained in the temperature range of 1173 - 1373 K and at a pressure of 1.33 kPa (10 Torr). Aluminum deposition takes place from aluminium subchlorides produced by reaction between AlCl 3 and pure aluminum kept in the CVD reactor. The aluminum diffuses into the iron samples and iron aluminide phases are formed at the surface. The coatings were shining bright and showed good adherence to the substrate. The coatings consisted of FeAl phase over a wide range of experimental conditions. The growth kinetics of the coating followed a parabolic rate law and the mean activation energy was 212 ±16 kJ/mol. Optical microscopic studies on the transverse section of the coating showed that the aluminide coating on iron consisted of two layers. The top layer had a thickness in the range of 20-50 μm, and the under layer had thickness ranging from 35 to 250 μm depending on coating temperature in two hours. The thickness of the aluminide layer increased with coating duration and temperature. Electron microprobe studies (EPMA) showed that the aluminum concentration decreased steadily as distance from the surface increased. TEM studies showed that the outer most layer had a B2 order (of the FeAl phase), which extended even into the under

  17. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  18. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  19. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  20. Selenium determination in biological material by atomic absorption spectrophotometry in graphite furnace and using vapor generation

    International Nuclear Information System (INIS)

    Carvalho Vidal, M. de F. de.

    1984-01-01

    The applicability of the atomic absorption spectrophotometry to the determination of selenium in biological material using vapor generation and electrothermal atomization in the graphite furnace was investigated. Instrumental parameters and the analytical conditions of the methods were studied. Decomposition methods for the samples were tested, and the combustion in the Wickbold apparatus was chosen. (author) [pt

  1. Chemical-cleaning process evaluation: Westinghouse steam generators. Final report

    International Nuclear Information System (INIS)

    Cleary, W.F.; Gockley, G.B.

    1983-04-01

    The Steam Generator Owners Group (SGOG)/Electric Power Research Institute (EPRI) Steam Generator Secondary Side Chemical Cleaning Program, under develpment since 1978, has resulted in a generic process for the removal of accumulated corrosion products and tube deposits in the tube support plate crevices. The SGOG/EPRI Project S150-3 was established to obtain an evaluation of the generic process in regard to its applicability to Westinghouse steam generators. The results of the evaluation form the basis for recommendations for transferring the generic process to a plant specific application and identify chemical cleaning corrosion guidelines for the materials in Westinghouse Steam Generators. The results of the evaluation, recommendations for plant-specific applications and corrosion guidelines for chemical cleaning are presented in this report

  2. Properties of zinc selenide grown by chemical vapor transport and its application to room-temperature radiation detection

    International Nuclear Information System (INIS)

    Brunett, B.A.; Toney, J.E.; Schlesinger, T.E.; Yoon, H.; Goorsky, M.S.; Rudolph, P.

    1998-01-01

    The authors have characterized ZnSe material grown by chemical vapor transport in iodine using triple-axis X-ray diffraction (TAD), photo-induced current transient spectroscopy (PICTS), photoluminescence (PL), current-voltage measurements and gamma-ray spectroscopy. The material was found to have inadequate carrier transport for nuclear spectrometer use, but there was a discernible difference in performance between crystals which could be correlated with crystallinity as determined by the TAD rocking curves

  3. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  4. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  5. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing

    Directory of Open Access Journals (Sweden)

    Gábor Piszter

    2016-09-01

    Full Text Available Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  6. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  7. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Gorham-Bergeron, E.; Benson, D.A.

    1978-01-01

    A series of experiments is described in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressures measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical nonequilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented

  8. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  9. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  10. De novo protein structure generation from incomplete chemical shift assignments

    Energy Technology Data Exchange (ETDEWEB)

    Shen Yang [National Institutes of Health, Laboratory of Chemical Physics, National Institute of Diabetes and Digestive and Kidney Diseases (United States); Vernon, Robert; Baker, David [University of Washington, Department of Biochemistry and Howard Hughes Medical Institute (United States); Bax, Ad [National Institutes of Health, Laboratory of Chemical Physics, National Institute of Diabetes and Digestive and Kidney Diseases (United States)], E-mail: bax@nih.gov

    2009-02-15

    NMR chemical shifts provide important local structural information for proteins. Consistent structure generation from NMR chemical shift data has recently become feasible for proteins with sizes of up to 130 residues, and such structures are of a quality comparable to those obtained with the standard NMR protocol. This study investigates the influence of the completeness of chemical shift assignments on structures generated from chemical shifts. The Chemical-Shift-Rosetta (CS-Rosetta) protocol was used for de novo protein structure generation with various degrees of completeness of the chemical shift assignment, simulated by omission of entries in the experimental chemical shift data previously used for the initial demonstration of the CS-Rosetta approach. In addition, a new CS-Rosetta protocol is described that improves robustness of the method for proteins with missing or erroneous NMR chemical shift input data. This strategy, which uses traditional Rosetta for pre-filtering of the fragment selection process, is demonstrated for two paramagnetic proteins and also for two proteins with solid-state NMR chemical shift assignments.

  11. Hard X-ray photoelectron spectroscopy study for transport behavior of CsI in heating test simulating a BWR severe accident condition: Chemical effects of boron vapors

    Energy Technology Data Exchange (ETDEWEB)

    Okane, T., E-mail: okanet@spring8.or.jp [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Kobata, M. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Sato, I. [Oarai Research and Development Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Kobayashi, K. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Osaka, M. [Nuclear Science and Engineering Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Yamagami, H. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Faculty of Science, Kyoto Sangyo University, Motoyama, Kamigamo, Kita-ku, Kyoto, 603-8555 (Japan)

    2016-02-15

    Highlights: • We have clarified the temperature-dependent chemical forms of Cs/I products. • We have examined the CsI-decomposing effects of B{sub 2}O{sub 3} vapor. • The possibility of Cs re-evaporation from CsI-deposited surface is suggested. • We have demonstrated the usefulness of HAXPES on FP chemistry. - Abstract: Transport behavior of CsI in the heating test, which simulated a BWR severe accident, was investigated by hard X-ray photoelectron spectroscopy (HAXPES) with an emphasis on the chemical effect of boron vapors. CsI deposited on metal tube at temperatures ranging from 150 °C to 750 °C was reacted with vapor/aerosol B{sub 2}O{sub 3}, and the chemical form of reaction products on the sample surface was examined from the HAXPES spectra of core levels, e.g., Ni 2p, Cs 3d and I 3d levels, and valence band. For the samples at ∼300 °C, while the chemical form of major product on the sample surface without an exposure to B{sub 2}O{sub 3} was suggested to be CsI from the HAXPES spectra, an intensity ratio of Cs/I was dramatically reduced at the sample surface after the reaction with B{sub 2}O{sub 3}. The results suggest the possibility of significant decomposition of deposited CsI induced by the chemical reaction with B{sub 2}O{sub 3} at specific temperatures.

  12. Functionalized Graphene Enables Highly Efficient Solar Thermal Steam Generation.

    Science.gov (United States)

    Yang, Junlong; Pang, Yunsong; Huang, Weixin; Shaw, Scott K; Schiffbauer, Jarrod; Pillers, Michelle Anne; Mu, Xin; Luo, Shirui; Zhang, Teng; Huang, Yajiang; Li, Guangxian; Ptasinska, Sylwia; Lieberman, Marya; Luo, Tengfei

    2017-06-27

    The ability to efficiently utilize solar thermal energy to enable liquid-to-vapor phase transition has great technological implications for a wide variety of applications, such as water treatment and chemical fractionation. Here, we demonstrate that functionalizing graphene using hydrophilic groups can greatly enhance the solar thermal steam generation efficiency. Our results show that specially functionalized graphene can improve the overall solar-to-vapor efficiency from 38% to 48% at one sun conditions compared to chemically reduced graphene oxide. Our experiments show that such an improvement is a surface effect mainly attributed to the more hydrophilic feature of functionalized graphene, which influences the water meniscus profile at the vapor-liquid interface due to capillary effect. This will lead to thinner water films close to the three-phase contact line, where the water surface temperature is higher since the resistance of thinner water film is smaller, leading to more efficient evaporation. This strategy of functionalizing graphene to make it more hydrophilic can be potentially integrated with the existing macroscopic heat isolation strategies to further improve the overall solar-to-vapor conversion efficiency.

  13. Modeling UTLS water vapor: Transport/Chemistry interactions

    International Nuclear Information System (INIS)

    Gulstad, Line

    2005-01-01

    This thesis was initially meant to be a study on the impact on chemistry and climate from UTLS water vapor. However, the complexity of the UTLS water vapor and its recent changes turned out to be a challenge by it self. In the light of this, the overall motivation for the thesis became to study the processes controlling UTLS water vapor and its changes. Water vapor is the most important greenhouse gas, involved in important climate feedback loops. Thus, a good understanding of the chemical and dynamical behavior of water vapor in the atmosphere is crucial for understanding the climate changes in the last century. Additionally, parts of the work was motivated by the development of a coupled climate chemistry model based on the CAM3 model coupled with the Chemical Transport Model Oslo CTM2. The future work will be concentrated on the UTLS water vapor impact on chemistry and climate. We are currently studying long term trends in UTLS water vapor, focusing on identification of the different processes involved in the determination of such trends. The study is based on natural as well as anthropogenic climate forcings. The ongoing work on the development of a coupled climate chemistry model will continue within our group, in collaboration with Prof. Wei-Chyung Wang at the State University of New York, Albany. Valuable contacts with observational groups are established during the work on this thesis. These collaborations will be continued focusing on continuous model validation, as well as identification of trends and new features in UTLS water vapor, and other tracers in this region. (Author)

  14. A critical review of vapor generation laws used for the analysis of two-phase flows in pipes

    International Nuclear Information System (INIS)

    Berne, P.

    1983-05-01

    Some vapor generation laws are reviewed and discussed. They are divided into empirical and analytical laws. Analytical laws are first examined. These laws result from analytical solutions of the local instantaneous equations applied to elementary cases. Empirical laws, i.e. laws that are determined by correlations with experimental data, are then discussed [fr

  15. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  16. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  17. Rapid Chemical Vapor Infiltration of Silicon Carbide Minicomposites at Atmospheric Pressure.

    Science.gov (United States)

    Petroski, Kenneth; Poges, Shannon; Monteleone, Chris; Grady, Joseph; Bhatt, Ram; Suib, Steven L

    2018-02-07

    The chemical vapor infiltration technique is one of the most popular for the fabrication of the matrix portion of a ceramic matrix composite. This work focuses on tailoring an atmospheric pressure deposition of silicon carbide onto carbon fiber tows using the methyltrichlorosilane (CH 3 SiCl 3 ) and H 2 deposition system at atmospheric pressure to create minicomposites faster than low pressure systems. Adjustment of the flow rate of H 2 bubbled through CH 3 SiCl 3 will improve the uniformity of the deposition as well as infiltrate the substrate more completely as the flow rate is decreased. Low pressure depositions conducted at 50 Torr deposit SiC at a rate of approximately 200 nm*h -1 , while the atmospheric pressure system presented has a deposition rate ranging from 750 nm*h -1 to 3.88 μm*h -1 . The minicomposites fabricated in this study had approximate total porosities of 3 and 6% for 10 and 25 SCCM infiltrations, respectively.

  18. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  19. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  20. Method and apparatus for suppressing electron generation in a vapor source for isotope separation

    International Nuclear Information System (INIS)

    Janes, G.S.

    1979-01-01

    A system for applying accelerating forces to ionized particles of a vapor in a manner to suppress the flow of electron current from the vapor source. The accelerating forces are applied as an electric field in a configuration orthogonal to a magnetic field. The electric field is applied between one or more anodes in the plasma and one or more cathodes operated as electron emitting surfaces. The circuit for applying the electric field floats the cathodes with respect to the vapor source, thereby removing the vapor source from the circuit of electron flow through the plasma and suppressing the flow of electrons from the vapor source. The potential of other conducting structures contacting the plasma is controlled at or permitted to seek a level which further suppresses the flow of electron currents from the vapor source. Reducing the flow of electrons from the vapor source is particularly useful where the vapor is ionized with isotopic selectivity because it avoids superenergization of the vapor by the electron current

  1. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  2. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  3. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  4. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Benson, D.A.; Bergeron, E.G.

    1979-01-01

    This paper describes a series of experiments in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressure measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical non-equilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented. (orig.) [de

  5. Vapor pressures and vaporization enthalpy of (−) α-bisabolol and (dl) menthol by correlation gas chromatography

    International Nuclear Information System (INIS)

    Keating, Leasa; Harris, Harold H.; Chickos, James S.

    2017-01-01

    Highlights: • The vaporization enthalpy and vapor pressure of (−) α-bisabolol and (dl)-menthol have been measured as a function of temperature. • Vapor pressures, vaporization enthalpies and boiling temperatures have been compared to available literature data. • Vapor pressures of (l)-menthol are compared to (dl)-menthol. - Abstract: The vapor pressures and vaporization enthalpies of (−) α-bisabolol and (dl)-menthol, two GRAS chemicals (generally recognized as safe) are evaluated by correlation gas chromatography using a series of saturated primary alcohols as standards. Vaporization enthalpies of (96.6 ± 2.4) and (74.2 ± 2.8) kJ mol −1 and vapor pressures of p/Pa = (0.020 ± 0.003) and (4.5 ± 0.44) were evaluated at T = 298.15 K for (−) α-bisabolol and (dl)-menthol, respectively, and compared to literature values. The vapor pressures of both compounds from T = (298.15 to 500) K have been derived from correlations using vapor pressures of a series of 1-alkanols and corresponding gas chromatographic retention times at 10 K intervals. The results were fit to a second order polynomial. Calculated normal boiling temperatures of T B = (574.8 and 492.7) K are calculated for (−) α-bisabolol and (dl)-menthol, respectively. A normal boiling temperature of T B = (485.2, and 489.7) K has previously been reported for (dl)-menthol. Vapor pressures for both (l)-menthol and (dl)-menthol from a previous study and (dl)-menthol from this study are compared with literature values.

  6. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  7. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  8. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  9. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  10. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  11. Three Mile Island Nuclear Station steam generator chemical cleaning

    International Nuclear Information System (INIS)

    Hansen, C.A.

    1992-01-01

    The Three Mile Island-1 steam generators were chemically cleaned in 1991 by the B and W Nuclear Service Co. (BWNS). This secondary side cleaning was accomplished through application of the EPRI/SGOG (Electric Power Research Institute - Steam Generator Owners Group) chemical cleaning iron removal process, followed by sludge lancing. BWNS also performed on-line corrosion monitoring. Corrosion of key steam generator materials was low, and well within established limits. Liquid waste, subsequently processed by BWNS was less than expected. 7 tabs

  12. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  13. Net vapor generation point in boiling flow of trichlorotrifluoroethane at high pressures

    Science.gov (United States)

    Dougall, R. S.; Lippert, T. E.

    1973-01-01

    The conditions at which the void in subcooled boiling starts to undergo a rapid increase were studied experimentally. The experiments were performed in a 12.7 x 9.5 mm rectangular channel. Heating was from a 3.2 mm wide strip embedded in one wall. The pressure ranged from 9.45 to 20.7 bar, mass velocity from 600 to 7000 kg/sq m sec, and subcooling from 16 to 67 C. Photographs were used to determine when detached bubbles first appeared in the bulk flow. Measurements of bubble layer thickness along the wall were also made. Results showed that the point of net vapor generation is close to the occurrence of fully-developed boiling.

  14. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  15. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  16. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  17. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  18. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  19. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  20. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  1. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    Science.gov (United States)

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  2. Secondhand Exposure to Vapors From Electronic Cigarettes

    Science.gov (United States)

    Czogala, Jan; Fidelus, Bartlomiej; Zielinska-Danch, Wioleta; Travers, Mark J.; Sobczak, Andrzej

    2014-01-01

    Introduction: Electronic cigarettes (e-cigarettes) are designed to generate inhalable nicotine aerosol (vapor). When an e-cigarette user takes a puff, the nicotine solution is heated and the vapor is taken into lungs. Although no sidestream vapor is generated between puffs, some of the mainstream vapor is exhaled by e-cigarette user. The aim of this study was to evaluate the secondhand exposure to nicotine and other tobacco-related toxicants from e-cigarettes. Materials and Methods: We measured selected airborne markers of secondhand exposure: nicotine, aerosol particles (PM2.5), carbon monoxide, and volatile organic compounds (VOCs) in an exposure chamber. We generated e-cigarette vapor from 3 various brands of e-cigarette using a smoking machine and controlled exposure conditions. We also compared secondhand exposure with e-cigarette vapor and tobacco smoke generated by 5 dual users. Results: The study showed that e-cigarettes are a source of secondhand exposure to nicotine but not to combustion toxicants. The air concentrations of nicotine emitted by various brands of e-cigarettes ranged from 0.82 to 6.23 µg/m3. The average concentration of nicotine resulting from smoking tobacco cigarettes was 10 times higher than from e-cigarettes (31.60±6.91 vs. 3.32±2.49 µg/m3, respectively; p = .0081). Conclusions: Using an e-cigarette in indoor environments may involuntarily expose nonusers to nicotine but not to toxic tobacco-specific combustion products. More research is needed to evaluate health consequences of secondhand exposure to nicotine, especially among vulnerable populations, including children, pregnant women, and people with cardiovascular conditions. PMID:24336346

  3. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  4. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  5. Observation and particle simulation of vaporized W, Mo, and Be in PISCES-B plasma for vapor-shielding studies

    Directory of Open Access Journals (Sweden)

    K. Ibano

    2017-08-01

    Full Text Available Interactions of Tungsten (W, Molybdenum (Mo, and Beryllium (Be vapors with a steady-state plasma were studied by the PISCES-B liner plasma experiments as well as Particle-In-Cell (PIC simulations for the understanding of vapor-shielding phenomena. Effective cooling of the plasma by laser-generated Be vapor was observed in PISCES-B. On the other hand, no apparent cooling was observed for W and Mo vapors. The PIC simulation explains these experimental observations of the difference between low-Z and high-Z vapors. Decrease of electron temperature due to the vapor ejection was observed in case of a simulation of the Be vapor. As for the W vapor, it was found that the plasma cooling is localized only near the wall at a higher electron density plasma (∼1019m−3. On the other hand, the appreciable plasma cooling can be observed in a lower density plasma (∼1018m−3 for the W vapor.

  6. R-22 vapor explosions

    International Nuclear Information System (INIS)

    Anderson, R.P.; Armstrong, D.R.

    1977-01-01

    Previous experimental and theoretical studies of R-22 vapor explosions are reviewed. Results from two experimental investigations of vapor explosions in a medium scale R-22/water system are reported. Measurements following the drop of an unrestrained mass of R-22 into a water tank demonstrated the existence of two types of interaction behavior. Release of a constrained mass of R-22 beneath the surface of a water tank improved the visual resolution of the system thus allowing identification of two interaction mechansims: at low water temperatures, R-22/water contact would produce immediate violent boiling; at high water temperatures a vapor film formed around its R-22 as it was released, explosions were generated by a surface wave which initiated at a single location and propagated along the vapor film as a shock wave. A new vapor explosion model is proposed, it suggests explosions are the result of a sequence of three independent steps: an initial mixing phase, a trigger and growth phase, and a mature phase where a propagating shock wave accelerates the two liquids into a collapsing vapor layer causing a high velocity impact which finely fragments and intermixes the two liquids

  7. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  8. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  9. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  10. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  11. A study of particle generation during laser ablation with applications

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Chunyi [Univ. of California, Berkeley, CA (United States)

    2005-01-01

    A study has been made of the generation of particles during laser ablation and has included size distribution measurements and observation of the formation processes. The particle size distribution with respect to different laser parameters was obtained in-line using a differential mobility analyzer (DMA) and a particle counter. The experimental results show that the particle size varies with laser energy, laser pulsewidth, ambient gas flow rate and sample properties. The results serve as a basis for controlling the size of nanoparticles generated by laser ablation. Laser shadowgraph imaging was used to study mass ejection processes and mechanisms. At higher laser irradiance, some particles were ejected in the liquid and even in the solid phase. Time-resolved images show the propagation of the shockwaves: external shockwaves propagate outward and decelerate, and internal shockwaves reflect back and forth between the gas contact surface and the sample surface. The internal shockwave is proposed to cause the ejection of liquid particles when the internal shockwave strikes the liquid molten layer. A simulation based on vapor plume expansion was carried out and provides satisfactory agreement with experimental results. Different material properties result in different particle ejection behavior:particle ejection for most materials including metals result in a conically shaped envelope for the ejected material while ejection for silicon resembles a liquid jet. The difference in density change when the materials melt was proposed to be an important factor in the different ejection behavior. The characteristics of particles generated by laser ablation have a strong influence on the chemical analysis of the irradiated sample. Large particles are more difficult to completely vaporize and ionize, and induced preferential vaporization causes fractionation (i.e. a detected chemical composition that differs from the sample material). Large particles also result in spikes in

  12. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  13. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  14. Chemical cleaning an essential part of steam generator asset management

    International Nuclear Information System (INIS)

    Amman, Franz

    2008-01-01

    Chemical Cleaning an essential part of Steam Generator asset management accumulation of deposits is intrinsic for the operation of Steam Generators in PWRs. Such depositions often lead to reduction of thermal performance, loss of component integrity and, in some cases to power restrictions. Accordingly removal of such deposits is an essential part of the asset management of the Steam Generators in a Nuclear Power Plant. Every plant has its individual condition, history and constraints which need to be considered when planning and performing a chemical cleaning. Typical points are: - Sludge load amount and constitution of the deposits - Sludge distribution in the steam generator - Existing or expected corrosion problems - Amount and tendency of fouling for waste treatment Depending on this points the strategy for chemical cleaning shall be evolved. the range of treatment starts with very soft cleanings with a removal of approx 100 kg per steam generator and goes to a full scale cleaning which can remove up to several thousand kilograms of deposits from a steam generator. Depending on the goal to be achieved and the steam generator present an adequate cleaning method shall be selected. This requires flexible and 'customisable' cleaning methods that can be adapted to the individual needs of a plant. Such customizing of chemical cleaning methods is an essential factor for an optimized asset management of the steam generator in a nuclear power plant

  15. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  16. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  17. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  18. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  19. Mass spectrometric study of Nd2S3 vaporization

    International Nuclear Information System (INIS)

    Fenochka, B.V.

    1987-01-01

    The authors conduct a mass-spectrometric study of neodymium(III) sulfide vaporization. The chemical composition of the samples was stoichiometric and the samples were vaporized from tantalum effusion cells. When the vapor over Nd 2 S 3 is ionized by electrons the mass spectra shows monovalent cations of Nd, S, NdS, and NdO. The enthalpy of vaporization if Nd atoms from Nd 2 S 3 at average experimental temperatures and the standard enthalpy of reaction is shown. Also presented is the enthalpy of vaporization of NdS molecules from Nd 2 S 3 at average experimental temperatures and the standard enthalpy of reaction

  20. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  1. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  2. Toxicity of vapor phase petroleum contaminants to microbial degrader communities

    International Nuclear Information System (INIS)

    Long, S.C.; Davey, C.A.

    1994-01-01

    Petroleum products constitute the largest quantity of synthetic organic chemical products produced in the US. They are comprised of mostly hydrocarbon constituents from many different chemical classes including alkenes, cycloalkanes, aromatic compounds, and polyaromatic hydrocarbons. Many petroleum constituents are classified as volatile organic compounds or VOCs. Petroleum products also constitute a major portion of environmental pollution. One emerging technology, with promise for applications to VOCs in subsurface soil environments, is bioventing coupled with soil vapor extraction. These technologies involve volatilization of contaminants into the soil gas phase by injection and withdrawal of air. This air movement causes enhancement of the aerobic microbial degradation of the mobilized vapors by the indigenous populations. This study investigated the effects of exposure of mixed, subsurface microbial communities to vapor phase petroleum constituents or vapors of petroleum mixtures. Soil slurries were prepared and plated onto mineral salts agar plates and exposed to vapor phase contaminants at equilibrium with pure product. Representative n-alkane, branched alkane, cycloalkane, and aromatic compounds were tested as well as petroleum product mixtures. Vapor exposure altered the numbers and morphologies of the colonies enumerated when compared to controls. However, even at high, equilibrium vapor concentrations, microbial degrader populations were not completely inhibited

  3. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  4. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-01-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  5. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-05-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  6. The separation of hydrocarbons from waste vapor streams

    International Nuclear Information System (INIS)

    Behling, R.D.; Ohlrogge, K.; Peinemann, K.V.; Kyburz, E.

    1989-01-01

    Hydrocarbon vapors generated from industrial processes dispersed into air are contributing factors for the creation of photochemical smog. The separation of hydrocarbon vapor by means of membranes is in case of some applications a technically simple and economic process. A membrane vapor separation process with a following treatment of the retentate by catalytic incineration is introduced in this paper

  7. Method for Hot Real-Time Analysis of Pyrolysis Vapors at Pilot Scale

    Energy Technology Data Exchange (ETDEWEB)

    Pomeroy, Marc D [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-09-29

    Pyrolysis oils contain more than 400 compounds, up to 60% of which do not re-volatilize for subsequent chemical analysis. Vapor chemical composition is also complicated as additional condensation reactions occur during quenching and collection of the product. Due to the complexity of the pyrolysis oil, and a desire to catalytically upgrade the vapor composition before condensation, online real-time analytical techniques such as Molecular Beam Mass Spectrometry (MBMS) are of great use. However, in order to properly sample hot pyrolysis vapors at the pilot scale, many challenges must be overcome.

  8. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  9. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  10. Development of a hybrid chemical/mechanical heat pump

    Science.gov (United States)

    Grzyll, Lawrence R.; Silvestri, John J.; Scaringe, Robert P.

    1991-01-01

    The authors present the current development status of a hybrid chemical/mechanical heat pump for low-lift applications. The heat pump provides electronics cooling by evaporating a pure refrigerant from an absorbent/refrigerant mixture in a generator/cold plate. The current development focused on evaluation of absorbent/refrigerant pairs, corrosion testing, pump and compressor design, and electronic cold plate design. Two cycle configurations were considered. The first configuration utilized a standard mechanical compressor and pump. The second cycle configuration investigated pumps and compressors with non-moving parts. An innovative generator/cold plate design is also presented. The development to date shows that this cycle has about the same performance as standard vapor compression heat pumps with standard refrigerants but may have some performance and reliability advantages over vapor compression heat pumps.

  11. Slurry sampling flow injection chemical vapor generation inductively coupled plasma mass spectrometry for the determination of trace Ge, As, Cd, Sb, Hg and Bi in cosmetic lotions

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wei-Ni [Department of Chemistry, National Sun Yat-sen University, Kaohsiung 80424, Taiwan (China); Jiang, Shiuh-Jen, E-mail: sjjiang@faculty.nsysu.edu.tw [Department of Chemistry, National Sun Yat-sen University, Kaohsiung 80424, Taiwan (China); Department of Medical Laboratory Science and Biotechnology, Kaohsiung Medical University, Kaohsiung 80708, Taiwan (China); Chen, Yen-Ling [Department of Fragrance and Cosmetic Science, Kaohsiung Medical University, Kaohsiung 80708, Taiwan (China); Sahayam, A.C. [National Centre for Compositional Characterisation of Materials (CCCM), Hyderabad (India)

    2015-02-20

    Highlights: • Determination of Ge, As, Cd, Sb, Hg and Bi in cosmetic lotions in a single run. • Accurate analysis using isotope dilution and standard addition methods. • Vapor generation ICP-MS yielded superior detection limits compared to ETV-ICP-MS. • No sample dissolution increased sample through put. • Analysis of GBW09305 Cosmetic (Cream) reference material for accuracy. - Abstract: A slurry sampling inductively coupled plasma mass spectrometry (ICP-MS) method has been developed for the determination of Ge, As, Cd, Sb, Hg and Bi in cosmetic lotions using flow injection (FI) vapor generation (VG) as the sample introduction system. A slurry containing 2% m/v lotion, 2% m/v thiourea, 0.05% m/v L-cysteine, 0.5 μg mL{sup −1} Co(II), 0.1% m/v Triton X-100 and 1.2% v/v HCl was injected into a VG-ICP-MS system for the determination of Ge, As, Cd, Sb, Hg and Bi without dissolution and mineralization. Because the sensitivities of the analytes in the slurry and that of aqueous solution were quite different, an isotope dilution method and a standard addition method were used for the determination. This method has been validated by the determination of Ge, As, Cd, Sb, Hg and Bi in GBW09305 Cosmetic (Cream) reference material. The method was also applied for the determination of Ge, As, Cd, Sb, Hg and Bi in three cosmetic lotion samples obtained locally. The analysis results of the reference material agreed with the certified value and/or ETV-ICP-MS results. The detection limit estimated from the standard addition curve was 0.025, 0.1, 0.2, 0.1, 0.15, and 0.03 ng g{sup −1} for Ge, As, Cd, Sb, Hg and Bi, respectively, in original cosmetic lotion sample.

  12. Slurry sampling flow injection chemical vapor generation inductively coupled plasma mass spectrometry for the determination of trace Ge, As, Cd, Sb, Hg and Bi in cosmetic lotions

    International Nuclear Information System (INIS)

    Chen, Wei-Ni; Jiang, Shiuh-Jen; Chen, Yen-Ling; Sahayam, A.C.

    2015-01-01

    Highlights: • Determination of Ge, As, Cd, Sb, Hg and Bi in cosmetic lotions in a single run. • Accurate analysis using isotope dilution and standard addition methods. • Vapor generation ICP-MS yielded superior detection limits compared to ETV-ICP-MS. • No sample dissolution increased sample through put. • Analysis of GBW09305 Cosmetic (Cream) reference material for accuracy. - Abstract: A slurry sampling inductively coupled plasma mass spectrometry (ICP-MS) method has been developed for the determination of Ge, As, Cd, Sb, Hg and Bi in cosmetic lotions using flow injection (FI) vapor generation (VG) as the sample introduction system. A slurry containing 2% m/v lotion, 2% m/v thiourea, 0.05% m/v L-cysteine, 0.5 μg mL −1 Co(II), 0.1% m/v Triton X-100 and 1.2% v/v HCl was injected into a VG-ICP-MS system for the determination of Ge, As, Cd, Sb, Hg and Bi without dissolution and mineralization. Because the sensitivities of the analytes in the slurry and that of aqueous solution were quite different, an isotope dilution method and a standard addition method were used for the determination. This method has been validated by the determination of Ge, As, Cd, Sb, Hg and Bi in GBW09305 Cosmetic (Cream) reference material. The method was also applied for the determination of Ge, As, Cd, Sb, Hg and Bi in three cosmetic lotion samples obtained locally. The analysis results of the reference material agreed with the certified value and/or ETV-ICP-MS results. The detection limit estimated from the standard addition curve was 0.025, 0.1, 0.2, 0.1, 0.15, and 0.03 ng g −1 for Ge, As, Cd, Sb, Hg and Bi, respectively, in original cosmetic lotion sample

  13. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  14. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  15. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  16. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  17. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  18. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  19. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  20. Differential mobility analysis of nanoparticles generated by laser vaporization and controlled condensation (LVCC)

    International Nuclear Information System (INIS)

    Abdelsayed, Victor; El-Shall, M. Samy; Seto, Takafumi

    2006-01-01

    Silicon and iron aluminide (FeAl) nanoparticles were synthesized by a laser vaporization controlled condensation (LVCC) method. The particles generated by the laser ablation of solid targets were transported and deposited in the presence of well-defined thermal and electric field in a newly designed flow-type LVCC chamber. The deposition process of nanoparticles was controlled by the balance of the external forces; i.e., gas flow, thermophoretic and electrostatic forces. The size distributions of generated nanoparticles were analyzed using a low-pressure differential mobility analyzer (LP-DMA). The effect of synthesis condition on the size distribution was analyzed by changing the pressure of the carrier gas (20-200 Torr), the temperature gradient in the LVCC chamber (ΔT=0-190 deg. C) and the electric field applied between the LVCC chamber plates (E=0-3000 V/m). It was found that electrostatic field was effective to selectively deposit small size nanoparticles (about 10 nm) with expelling large droplet-like particles

  1. Photoelectrochemical energy conversion obtained with ultrathin organo-metallic-chemical-vapor-deposition layer of FeS[sub 2] (pyrite) on TiO[sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Fiechter, S.; Tributsch, H. (Abt. Solare Energetik, Hahn-Meitner-Inst., D-1000 Berlin 39 (Germany)); Giersig, M.; Vogel, R.; Weller, H. (Abt. Photochemie, Hahn-Meitner-Inst., D-1000 Berlin 39 (Germany))

    1992-09-01

    Ultrathin (10 to 20 nm thick), polycrystalline films of FeS[sub 2] (pyrite) were grown on TiO[sub 2] (anatase) by chemical vapor deposition. The FeS[sub 2] films were characterized using optical absorption and high-resolution electron microscopy. Photoelectrochemical solar cells, using TiO[sub 2] (anatase) coated with FeS[sub 2] ultrathin films, generated high open-circuit photo-voltages, of up to 600 mV, compared with a single crystal of pyrite electrode (200 mV). The photoelectrochemical behavior shows a strong dependence of photovoltage and photocurrent on the pH of the solution. This paper reports that it is explained by electron injection from the conduction band of FeS[sub 2] to the conduction band of TiO[sub 2]. Regeneration of holes is taking place by electron transfer from the redox system in the electrolyte.

  2. Performance of horizontal versus vertical vapor extraction wells

    International Nuclear Information System (INIS)

    Birdsell, K.H.; Roseberg, N.D.; Edlund, K.M.

    1994-06-01

    Vapor extraction wells used for site remediation of volatile organic chemicals in the vadose zone are typically vertical wells. Over the past few years, there has been an increased interest in horizontal wells for environmental remediation. Despite the interest and potential benefits of horizontal wells, there has been little study of the relative performance of horizontal and vertical vapor extraction wells. This study uses numerical simulations to investigate the relative performance of horizontal versus vertical vapor extraction wells under a variety of conditions. The most significant conclusion that can be drawn from this study is that in a homogeneous medium, a single, horizontal vapor extraction well outperforms a single, vertical vapor extraction well (with surface capping) only for long, linear plumes. Guidelines are presented regarding the use of horizontal wells

  3. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  4. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  5. Real time incorporation of random events in the reasoning of an on-line expert system. Application to the acoustic surveillance of vapor generators

    International Nuclear Information System (INIS)

    Launay, T.

    1989-03-01

    A study for improving an expert system applied in diagnostic assistance is presented. The results will be implemented in the vapor generators surveillance system. The aim of the work is to improve performances by reducing the time spent on reasoning and to strengthen the vigilance system. The investigation consists of four parts. In the first part, the state of the art of the different logics used in the artificial intelligence techniques is discussed, and the TMS and ATMS systems are presented. The second part of this thesis deals with problematics. Each point of the problem is studied and answered by applying the basic concepts used in the generation of on-line expert systems. In the third part, the on-line expert system generator ACTE is described. The ACTE aspects concerning the user, the inner structure and the functionality are considered. In the fourth part, an application to the surveillance of vapor generators and concluding remarks are presented [fr

  6. Molecular restrictions for human eye irritation by chemical vapors

    International Nuclear Information System (INIS)

    Cometto-Muniz, J. Enrique; Cain, William S.; Abraham, Michael H.

    2005-01-01

    Previous research showed a cut-off along homologous volatile organic compounds (VOCs) in their ability to produce acute human mucosal irritation. The present study sought to specify the particular cut-off homolog for sensory eye irritation in an acetate and n-alcohol series. A 1900-ml glass vessel system and a three-alternative forced-choice procedure served to test nonyl, decyl, and dodecyl acetate, and 1-nonanol, 1-decanol, and 1-undecanol. Flowrate to the eye ranged from 2 to 8 L/min and time of exposure from 3 to 24 s. Decyl acetate and 1-undecanol were the shortest homologs that failed to produce eye irritation under all conditions, producing a cut-off effect. Increasing the vapor concentration of decyl acetate and 1-undecanol by 3 and 8 times, respectively, via heating them to 37 deg C made either or both VOCs detectable to only half of the 12 subjects tested, even though the higher vapor concentration was well above a predicted eye irritation threshold. When eye irritation thresholds for homologous acetates and n-alcohols were plotted as a function of the longest unfolded length of the molecule, the values for decyl acetate and 1-undecanol fell within a restricted range of 18 to 19 A. The outcome suggests that the basis for the cut-off is biological, that is, the molecule lacks a key size or structure to trigger transduction, rather than physical, that is, the vapor concentration is too low to precipitate detection

  7. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  8. Mass spectrometric study of vaporization of (U,Pu)O2 fuel simulating high burnup

    International Nuclear Information System (INIS)

    Maeda, Atsushi; Ohmichi, Toshihiko; Fukushima, Susumu; Handa, Muneo

    1985-08-01

    The vaporization behavior of (U,Pu)O 2 fuel simulatig high burnup was studied in the temperature range of 1,573 -- 2,173 K by high temperature mass spectrometry. The phases in the simulated fuel were examined by X-ray microprobe analysis. The relationship between chemical form and vaporization behavior of simulated fission product elements was discussed. Pd, Sr, Ba, Ce and actinide-bearing vapor species were observed, and it was clarified that Pd vapor originated from metallic inclusion and Sr and Ce vapors, from mixed oxide fuel matrix. The vaporization behavior of the actinide elements was somewhat similar to that of hypostoichiometric mixed oxide fuel. The behavior of Ba-bearing vapor species changed markedly over about 2,000 K. From the determination of BaO vapor pressures over simulated fuel and BaZrO 3 , it was revealed thermodynamically that the transformation of the chemical form of Ba about 2,000 K, i.e., dissolution of BaZrO 3 phase into fuel matrix, might be the reason of the observed vapor pressure change. (author)

  9. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  10. Method for the generation of variable density metal vapors which bypasses the liquidus phase

    Science.gov (United States)

    Kunnmann, Walter; Larese, John Z.

    2001-01-01

    The present invention provides a method for producing a metal vapor that includes the steps of combining a metal and graphite in a vessel to form a mixture; heating the mixture to a first temperature in an argon gas atmosphere to form a metal carbide; maintaining the first temperature for a period of time; heating the metal carbide to a second temperature to form a metal vapor; withdrawing the metal vapor and the argon gas from the vessel; and separating the metal vapor from the argon gas. Metal vapors made using this method can be used to produce uniform powders of the metal oxide that have narrow size distribution and high purity.

  11. Combined rankine and vapor compression cycles

    Science.gov (United States)

    Radcliff, Thomas D.; Biederman, Bruce P.; Brasz, Joost J.

    2005-04-19

    An organic rankine cycle system is combined with a vapor compression cycle system with the turbine generator of the organic rankine cycle generating the power necessary to operate the motor of the refrigerant compressor. The vapor compression cycle is applied with its evaporator cooling the inlet air into a gas turbine, and the organic rankine cycle is applied to receive heat from a gas turbine exhaust to heat its boiler within one embodiment, a common condenser is used for the organic rankine cycle and the vapor compression cycle, with a common refrigerant, R-245a being circulated within both systems. In another embodiment, the turbine driven generator has a common shaft connected to the compressor to thereby eliminate the need for a separate motor to drive the compressor. In another embodiment, an organic rankine cycle system is applied to an internal combustion engine to cool the fluids thereof, and the turbo charged air is cooled first by the organic rankine cycle system and then by an air conditioner prior to passing into the intake of the engine.

  12. Covering sources of toxic vapors with foam

    International Nuclear Information System (INIS)

    Aue, W. P.; Guidetti, F.

    2009-01-01

    In a case of chemical terrorism, first responders might well be confronted with a liquid source of toxic vapor which keeps spreading out its hazardous contents. With foam as an efficient and simple means, such a source could be covered up in seconds and the spread of vapors mitigated drastically. Once covered, the source could then wait for a longer time to be removed carefully and professionally by a decontamination team. In order to find foams useful for covering up toxic vapor sources, a large set of measurements has been performed in order to answer the following questions: - Which foams could be used for this purpose? - How thick should the foam cover be? - For how long would such a foam cover be effective? - Could the practical application of foam cause a spread of the toxic chemical? The toxic vapors sources included GB, GD and HD. Among the foams were 10 fire fighter foams (e.g. AFFF, protein) and the aqueous decontamination foam CASCAD. Small scale experiments showed that CASCAD is best suited for covering a toxic source; a 10 cm layer of it covers and decontaminates GB. The large scale experiments confirmed that any fire fighter foam is a suitable cover for a longer or shorter period.(author)

  13. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  14. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  15. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  16. A vapor generator equipped with an advanced drain device for the secondary side of the tubes plate

    International Nuclear Information System (INIS)

    Valadon, C.

    1995-01-01

    A draining design is proposed for the tube plate secondary side in a PWR type reactor, that does not interfere with the water flush 'street' thus allowing for an easy inspection and maintenance in the lower part of the tube bundle. The draining system is composed of a main groove on the upper side of the tube plate, which is connected to draining means situated outside the vapor generator. 6 fig

  17. Entropy Generation in a Chemical Reaction

    Science.gov (United States)

    Miranda, E. N.

    2010-01-01

    Entropy generation in a chemical reaction is analysed without using the general formalism of non-equilibrium thermodynamics at a level adequate for advanced undergraduates. In a first approach to the problem, the phenomenological kinetic equation of an elementary first-order reaction is used to show that entropy production is always positive. A…

  18. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  19. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  20. Risk assessment of metal vapor arcing

    Science.gov (United States)

    Hill, Monika C. (Inventor); Leidecker, Henning W. (Inventor)

    2009-01-01

    A method for assessing metal vapor arcing risk for a component is provided. The method comprises acquiring a current variable value associated with an operation of the component; comparing the current variable value with a threshold value for the variable; evaluating compared variable data to determine the metal vapor arcing risk in the component; and generating a risk assessment status for the component.

  1. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  2. Oxygen source-oriented control of atmospheric pressure chemical vapor deposition of VO2 for capacitive applications

    Directory of Open Access Journals (Sweden)

    Dimitra Vernardou

    2016-06-01

    Full Text Available Vanadium dioxides of different crystalline orientation planes have successfully been fabricated by chemical vapor deposition at atmospheric pressure using propanol, ethanol and O2 gas as oxygen sources. The thick a-axis textured monoclinic vanadium dioxide obtained through propanol presented the best electrochemical response in terms of the highest specific discharge capacity of 459 mAh g-1 with a capacitance retention of 97 % after 1000 scans under constant specific current of 2 A g-1. Finally, the electrochemical impedance spectroscopy indicated that the charge transfer of Li+ through the vanadium dioxide / electrolyte interface was easier for this sample enhancing significantly its capacitance performance.

  3. Mobile vapor recovery and vapor scavenging unit

    International Nuclear Information System (INIS)

    Stokes, C.A.; Steppe, D.E.

    1991-01-01

    This patent describes a mobile anti- pollution apparatus, for the recovery of hydrocarbon emissions. It comprises a mobile platform upon which is mounted a vapor recovery unit for recovering vapors including light hydrocarbons, the vapor recovery unit having an inlet and an outlet end, the inlet end adapted for coupling to an external source of hydrocarbon vapor emissions to recover a portion of the vapors including light hydrocarbons emitted therefrom, and the outlet end adapted for connection to a means for conveying unrecovered vapors to a vapor scavenging unit, the vapor scavenging unit comprising an internal combustion engine adapted for utilizing light hydrocarbon in the unrecovered vapors exiting from the vapor recovery unit as supplemental fuel

  4. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  5. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  6. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  7. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  8. Study of porogen removal by atomic hydrogen generated by hot wire chemical vapor deposition for the fabrication of advanced low-k thin films

    Energy Technology Data Exchange (ETDEWEB)

    Godavarthi, S., E-mail: srinivas@cinvestav.mx [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); Universidad Nacional Autonoma de Mexico, Instituto de Ciencias Fisicas, Av. Universidad, Cuernavaca, Morelos (Mexico); Wang, C.; Verdonck, P. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Matsumoto, Y.; Koudriavtsev, I. [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Dutt, A. [SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Tielens, H.; Baklanov, M.R. [imec, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-30

    In order to obtain low-k dielectric films, a subtractive technique, which removes sacrificial porogens from a hydrogenated silicon oxycarbide (SiOC:H) film, has been used successfully by different groups in the past. In this paper, we report on the porogen removal from porogenated SiOC:H films, using a hot wire chemical vapor deposition (HWCVD) equipment. Molecular hydrogen is dissociated into atomic hydrogen by the hot wires and these atoms may successfully remove the hydrocarbon groups from the porogenated SiOC:H films. The temperature of the HWCVD filaments proved to be a determining factor. By Fourier transform infrared spectroscopy, X-ray reflectivity (XRR), secondary ion mass spectrometry (SIMS), ellipsometric porosimetry and capacitance-voltage analyses, it was possible to determine that for temperatures higher than 1700 °C, efficient porogen removal occurred. For temperatures higher than 1800 °C, the presence of OH groups was detected. The dielectric constant was the lowest, 2.28, for the samples processed at a filament temperature of 1800 °C, although porosity measurements showed higher porosity for the films deposited at the higher temperatures. XRR and SIMS analyses indicated densification and Tungsten (W) incorporation at the top few nanometers of the films.

  9. Physical chemical quality control of the molybdenum technetium generator

    International Nuclear Information System (INIS)

    Olive, E.; Cruz, J.; Isaac, M.; Gamboa, R.; D'Alessandro, K.; Desdin, L.F.

    1995-01-01

    Comparative operational procedure imported molybdenum technetium generators have been made. Procedures for determination of chemical, radiochemical and radionuclidic purities that may be applied in Hospital's laboratories and in the quality control of generators production are developed

  10. Potential ability of zeolite to generate high-temperature vapor using waste heat

    Science.gov (United States)

    Fukai, Jun; Wijayanta, Agung Tri

    2018-02-01

    In various material product industries, a large amount of high temperature steam as heat sources are produced from fossil fuel, then thermal energy retained by condensed water at lower than 100°C are wasted. Thermal energies retained by exhaust gases at lower than 200°C are also wasted. Effective utilization of waste heat is believed to be one of important issues to solve global problems of energy and environment. Zeolite/water adsorption systems are introduced to recover such low-temperature waste heats in this study. Firstly, an adsorption steam recovery system was developed to generate high temperature steam from unused hot waste heat. The system used a new principle that adsorption heat of zeolite/water contact was efficiently extracted. A bench-scaled system was constructed, demonstrating contentious generation of saturated steam nearly 150°C from hot water at 80°C. Energy conservation is expected by returning the generated steam to steam lines in the product processes. Secondly, it was demonstrated that superheated steam/vapor at higher than 200°C could be generated from those at nearly 120°C using a laboratory-scaled setup. The maximum temperature and the time variation of output temperature were successfully estimated using macroscopic heat balances. Lastly, the maximum temperatures were estimated whose saturate air at the relative humidity 20-80% were heated by the present system. Theoretically, air at higher than 200°C was generated from saturate air at higher than 70°C. Consequently, zeolite/water adsorption systems have potential ability to regenerate thermal energy of waste water and exhaust gases.

  11. Ammonia IR Absorbance Measurements with an Equilibrium Vapor Cell

    National Research Council Canada - National Science Library

    Field, Paul

    2004-01-01

    Infrared (IR) absorbance spectra were acquired for 18 ammonia vapor pressures. The vapor pressures were generated with 15 gravimetrically prepared aqueous solutions and three commercial aqueous solutions using a dynamic method I.E...

  12. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  13. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  14. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  15. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  16. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  17. Concise and Efficient Fluorescent Probe via an Intromolecular Charge Transfer for the Chemical Warfare Agent Mimic Diethylchlorophosphate Vapor Detection.

    Science.gov (United States)

    Yao, Junjun; Fu, Yanyan; Xu, Wei; Fan, Tianchi; Gao, Yixun; He, Qingguo; Zhu, Defeng; Cao, Huimin; Cheng, Jiangong

    2016-02-16

    Sarin, used as chemical warfare agents (CWAs) for terrorist attacks, can induce a number of virulent effects. Therefore, countermeasures which could realize robust and convenient detection of sarin are in exigent need. A concise charge-transfer colorimetric and fluorescent probe (4-(6-(tert-butyl)pyridine-2-yl)-N,N-diphenylaniline, TBPY-TPA) that could be capable of real-time and on-site monitoring of DCP vapor was reported in this contribution. Upon contact with DCP, the emission band red-shifted from 410 to 522 nm upon exposure to DCP vapor. And the quenching rate of TBPY-TPA reached up to 98% within 25 s. Chemical substances such as acetic acid (HAc), dimethyl methylphosphonate (DMMP), pinacolyl methylphosphonate (PAMP), and triethyl phosphate (TEP) do not interfere with the detection. A detection limit for DCP down to 2.6 ppb level is remarkably achieved which is below the Immediately Dangerous to Life or Health concentration. NMR data suggested that a transformation of the pyridine group into pyridinium salt via a cascade reaction is responsible for the sensing process which induced the dramatic fluorescent red shift. All of these data suggest TBPY-TPA is a promising fluorescent sensor for a rapid, simple, and low-cost method for DCP detection, which could be easy to prepare as a portable chemosensor kit for its practical application in real-time and on-site monitoring.

  18. Chemical Vapor Detection with a Multispectral Thermal Imager

    National Research Council Canada - National Science Library

    Althouse, Mark L. G; Chang, Chein-I

    1991-01-01

    .... Real-time autonomous detection and alarm is also required. A detection system model by Warren, based on a Gaussian vapor concentration distribution is the basis for detection algorithms. Algorithms recursive in both time and spectral frequency have been derived using Kalman filter theory. Adaptive filtering is used for preprocessing clutter rejection. Various components of the detection system have been tested individually and an integrated system is now being fabricated.

  19. Quantifying uncertainty in measurement of mercury in suspended particulate matter by cold vapor technique using atomic absorption spectrometry with hydride generator.

    Science.gov (United States)

    Singh, Nahar; Ahuja, Tarushee; Ojha, Vijay Narain; Soni, Daya; Tripathy, S Swarupa; Leito, Ivo

    2013-01-01

    As a result of rapid industrialization several chemical forms of organic and inorganic mercury are constantly introduced to the environment and affect humans and animals directly. All forms of mercury have toxic effects; therefore accurate measurement of mercury is of prime importance especially in suspended particulate matter (SPM) collected through high volume sampler (HVS). In the quantification of mercury in SPM samples several steps are involved from sampling to final result. The quality, reliability and confidence level of the analyzed data depends upon the measurement uncertainty of the whole process. Evaluation of measurement uncertainty of results is one of the requirements of the standard ISO/IEC 17025:2005 (European Standard EN IS/ISO/IEC 17025:2005, issue1:1-28, 2006). In the presented study the uncertainty estimation in mercury determination in suspended particulate matter (SPM) has been carried out using cold vapor Atomic Absorption Spectrometer-Hydride Generator (AAS-HG) technique followed by wet chemical digestion process. For the calculation of uncertainty, we have considered many general potential sources of uncertainty. After the analysis of data of seven diverse sites of Delhi, it has been concluded that the mercury concentration varies from 1.59 ± 0.37 to 14.5 ± 2.9 ng/m(3) with 95% confidence level (k = 2).

  20. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  1. PWR steam generator chemical cleaning. Phase II. Final report

    International Nuclear Information System (INIS)

    1980-01-01

    Two techniques believed capable of chemically dissolving the corrosion products in the annuli between tubes and support plates were developed in laboratory work in Phase I of this project and were pilot tested in Indian Point Unit No. 1 steam generators. In Phase II, one of the techniques was shown to be inadequate on an actual sample taken from an Indian Point Unit No. 2 steam generator. The other technique was modified slightly, and it was demonstrated that the tube/support plate annulus could be chemically cleaned effectively

  2. Vapor pressures and sublimation enthalpies of novel bicyclic heterocycle derivatives

    International Nuclear Information System (INIS)

    Blokhina, Svetlana V.; Ol’khovich, Marina V.; Sharapova, Angelica V.; Perlovich, German L.; Proshin, Alexey N.

    2014-01-01

    Highlights: • The vapor pressures of novel bicyclo-derivatives of amine were measured. • Thermodynamic functions of sublimation were calculated. • The influence of substituent structure and chemical nature on the vapor pressure was studied. -- Abstract: The vapor pressures of five novel bicyclic heterocycle derivatives were measured over the temperature 341.15 to 396.15 K using the transpiration method by means of an inert gas carrier. From these results the standard enthalpies and Gibbs free energies of sublimation at the temperature 298.15 K were calculated. The effects of alkyl- and chloro-substitutions on changes in the thermodynamic functions have been investigated. Quantitative structure–property relationship on the basis HYBOT physico-chemical descriptors for biologically active compounds have been developed to predict the sublimation enthalpies and Gibbs free energies of the compounds studied

  3. Using advanced oxidation treatment for biofilm inactivation by varying water vapor content in air plasma

    Science.gov (United States)

    Ryota, Suganuma; Koichi, Yasuoka

    2015-09-01

    Biofilms are caused by environmental degradation in food factories and medical facilities. The inactivation of biofilms involves making them react with chemicals including chlorine, hydrogen peroxide, and ozone, although inactivation using chemicals has a potential problem because of the hazardous properties of the residual substance and hydrogen peroxide, which have slow reaction velocity. We successfully performed an advanced oxidation process (AOP) using air plasma. Hydrogen peroxide and ozone, which were used for the formation of OH radicals in our experiment, were generated by varying the amount of water vapor supplied to the plasma. By varying the content of the water included in the air, the main product was changed from air plasma. When we increased the water content in the air, hydrogen peroxide was produced, while ozone peroxide was produced when we decreased the water content in the air. By varying the amount of water vapor, we realized a 99.9% reduction in the amount of bacteria in the biofilm when we discharged humidified air only. This work was supported by JSPS KAKENHI Grant Number 25630104.

  4. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong; Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee; Boo, Jin-Hyo

    2011-01-01

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  5. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong [School of Mechanical Engineering, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee [SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Boo, Jin-Hyo, E-mail: byunghee@skku.edu, E-mail: boong33@skku.edu [Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of)

    2011-03-04

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  6. Efficient generation of volatile cadmium species using Ti(III) and Ti(IV) and application to determination of cadmium by cold vapor generation inductively coupled plasma mass spectrometry (CVG-ICP-MS)†

    Science.gov (United States)

    Arslan, Zikri; Yilmaz, Vedat; Rose, LaKeysha

    2015-01-01

    In this study, a highly efficient chemical vapor generation (CVG) approach is reported for determination of cadmium (Cd). Titanium (III) and titanium (IV) were investigated for the first time as catalytic additives along with thiourea, L-cysteine and potassium cyanide (KCN) for generation of volatile Cd species. Both Ti(III) and Ti(IV) provided the highest enhancement with KCN. The improvement with thiourea was marginal (ca. 2-fold), while L-cysteine enhanced signal slightly only with Ti(III) in H2SO4. Optimum CVG conditions were 4% (v/v) HCl + 0.03 M Ti(III) + 0.16 M KCN and 2% (v/v) HNO3 + 0.03 M Ti(IV) + 0.16 M KCN with a 3% (m/v) NaBH4 solution. The sensitivity was improved about 40-fold with Ti(III) and 35-fold with Ti(IV). A limit of detection (LOD) of 3.2 ng L−1 was achieved with Ti(III) by CVG-ICP-MS. The LOD with Ti(IV) was 6.4 ng L−1 which was limited by the blank signals in Ti(IV) solution. Experimental evidence indicated that Ti(III) and Ti(IV) enhanced Cd vapor generation catalytically; for best efficiency mixing prior to reaction with NaBH4 was critical. The method was highly robust against the effects of transition metal ions. No significant suppression was observed in the presence of Co(II), Cr(III), Cu(II), Fe(III), Mn(II), Ni(II) and Zn(II) up to 1.0 μg mL−1. Among the hydride forming elements, no interference was observed from As(III) and Se(IV) at 0.5 μg mL−1 level. The depressive effects from Pb(II) and Sb(III) were not significant at 0.1 μg mL−1 while those from Bi(III) and Sn(II) were marginal. The procedures were validated with determination of Cd by CVG-ICP-MS in a number certified reference materials, including Nearshore seawater (CASS-4), Bone ash (SRM 1400), Dogfish liver (DOLT-4), Mussel tissue (SRM 2976) and Domestic Sludge (SRM 2781). PMID:26251554

  7. Toxic vapor concentrations in the control room following a postulated accidental release

    International Nuclear Information System (INIS)

    Wing, J.

    1979-05-01

    An acceptable method is presented for calculating the vapor concentrations in a control room as a function of time after a postulated accidental release. Included are the mathematical formulas for computing the rates of vaporization and evaporation of liquid spills, the vapor dispersion in air, and the control room air exchange. A list of toxic chemicals and their physical properties is also given

  8. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  9. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  10. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  11. Reaction Mechanism Generator: Automatic construction of chemical kinetic mechanisms

    Science.gov (United States)

    Gao, Connie W.; Allen, Joshua W.; Green, William H.; West, Richard H.

    2016-06-01

    Reaction Mechanism Generator (RMG) constructs kinetic models composed of elementary chemical reaction steps using a general understanding of how molecules react. Species thermochemistry is estimated through Benson group additivity and reaction rate coefficients are estimated using a database of known rate rules and reaction templates. At its core, RMG relies on two fundamental data structures: graphs and trees. Graphs are used to represent chemical structures, and trees are used to represent thermodynamic and kinetic data. Models are generated using a rate-based algorithm which excludes species from the model based on reaction fluxes. RMG can generate reaction mechanisms for species involving carbon, hydrogen, oxygen, sulfur, and nitrogen. It also has capabilities for estimating transport and solvation properties, and it automatically computes pressure-dependent rate coefficients and identifies chemically-activated reaction paths. RMG is an object-oriented program written in Python, which provides a stable, robust programming architecture for developing an extensible and modular code base with a large suite of unit tests. Computationally intensive functions are cythonized for speed improvements.

  12. X-ray photoelectron spectroscopy study on Fe and Co catalysts during the first stages of ethanol chemical vapor deposition for single-walled carbon nanotube growth

    NARCIS (Netherlands)

    Oida, S.; McFeely, F.R.; Bol, A.A.

    2011-01-01

    Optimized chemical vapor deposition processes for single-walled carbon nanotube (SWCNT) can lead to the growth of dense, vertically aligned, mm-long forests of SWCNTs. Precise control of the growth process is however still difficult, mainly because of poor understanding of the interplay between

  13. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  14. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shukrullah, S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Mohamed, N. M., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Shaharun, M. S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my [Department of Fundamental and Applied Sciences, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia); Yasar, M., E-mail: Muhammad.yasar@ieee.org [Department of Electrical and Electronic Engineering, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia)

    2014-10-24

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure.

  15. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  16. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  17. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Shukrullah, S.; Mohamed, N. M.; Shaharun, M. S.; Yasar, M.

    2014-01-01

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure

  18. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  19. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  20. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  1. MPGV: An expert system for the preventive maintenance of the vapor generators in a PWR type reactor

    International Nuclear Information System (INIS)

    Castelanu, L.

    1990-01-01

    The characteristics and the architecture of the expert system designed for assisting the preventive maintenance of vapor generators are described. The main objectives of the system are: to optimize the inspection plans, to assess breakdown risks, to propose solutions and to organize maintenance operations. The expert system allows the accurate evaluation of risks concerning the development of an application. However, the experience demonstrates that a cost-benefit analysis not accurate enough induces errors in the expert system applications [fr

  2. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  3. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  4. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  5. Vapor-Driven Propulsion of Catalytic Micromotors

    Science.gov (United States)

    Dong, Renfeng; Li, Jinxing; Rozen, Isaac; Ezhilan, Barath; Xu, Tailin; Christianson, Caleb; Gao, Wei; Saintillan, David; Ren, Biye; Wang, Joseph

    2015-08-01

    Chemically-powered micromotors offer exciting opportunities in diverse fields, including therapeutic delivery, environmental remediation, and nanoscale manufacturing. However, these nanovehicles require direct addition of high concentration of chemical fuel to the motor solution for their propulsion. We report the efficient vapor-powered propulsion of catalytic micromotors without direct addition of fuel to the micromotor solution. Diffusion of hydrazine vapor from the surrounding atmosphere into the sample solution is instead used to trigger rapid movement of iridium-gold Janus microsphere motors. Such operation creates a new type of remotely-triggered and powered catalytic micro/nanomotors that are responsive to their surrounding environment. This new propulsion mechanism is accompanied by unique phenomena, such as the distinct off-on response to the presence of fuel in the surrounding atmosphere, and spatio-temporal dependence of the motor speed borne out of the concentration gradient evolution within the motor solution. The relationship between the motor speed and the variables affecting the fuel concentration distribution is examined using a theoretical model for hydrazine transport, which is in turn used to explain the observed phenomena. The vapor-powered catalytic micro/nanomotors offer new opportunities in gas sensing, threat detection, and environmental monitoring, and open the door for a new class of environmentally-triggered micromotors.

  6. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  7. Condensation of vapor bubble in subcooled pool

    Science.gov (United States)

    Horiuchi, K.; Koiwa, Y.; Kaneko, T.; Ueno, I.

    2017-02-01

    We focus on condensation process of vapor bubble exposed to a pooled liquid of subcooled conditions. Two different geometries are employed in the present research; one is the evaporation on the heated surface, that is, subcooled pool boiling, and the other the injection of vapor into the subcooled pool. The test fluid is water, and all series of the experiments are conducted under the atmospheric pressure condition. The degree of subcooling is ranged from 10 to 40 K. Through the boiling experiment, unique phenomenon known as microbubble emission boiling (MEB) is introduced; this phenomenon realizes heat flux about 10 times higher than the critical heat flux. Condensation of the vapor bubble is the key phenomenon to supply ambient cold liquid to the heated surface. In order to understand the condensing process in the MEB, we prepare vapor in the vapor generator instead of the evaporation on the heated surface, and inject the vapor to expose the vapor bubble to the subcooled liquid. Special attention is paid to the dynamics of the vapor bubble detected by the high-speed video camera, and on the enhancement of the heat transfer due to the variation of interface area driven by the condensation.

  8. Vaporization of tungsten-metal in steam at high temperatures

    International Nuclear Information System (INIS)

    Greene, G.A.; Finfrock, C.C.

    2000-01-01

    The vaporization of tungsten from the APT spallation target dominates the radiological source term for unmitigated target overheating accidents. Chemical reactions of tungsten with steam which persist to tungsten temperatures as low as 800 C result in the formation of a hydrated tungsten-oxide which has a high vapor pressure and is readily convected in a flowing atmosphere. This low-temperature vaporization reaction essentially removes the oxide film that forms on the tungsten-metal surface as soon as it forms, leaving behind a fresh metallic surface for continued oxidation and vaporization. Experiments were conducted to measure the oxidative vaporization rates of tungsten in steam as part of the effort to quantify the MT radiological source term for severe target accidents. Tests were conducted with tungsten rods (1/8 inch diameter, six inches long) heated to temperatures from approximately 700 C to 1350 C in flowing steam which was superheated to 140 C. A total of 19 experiments was conducted. Fifteen tests were conducted by RF induction heating of single tungsten rods held vertical in a quartz glass retort. Four tests were conducted in a vertically-mounted tube furnace for the low temperature range of the test series. The aerosol which was generated and transported downstream from the tungsten rods was collected by passing the discharged steam through a condenser. This procedure insured total collection of the steam along with the aerosol from the vaporization of the rods. The results of these experiments revealed a threshold temperature for tungsten vaporization in steam. For the two tests at the lowest temperatures which were tested, approximately 700 C, the tungsten rods were observed to oxidize without vaporization. The remainder of the tests was conducted over the temperature range of 800 C to 1350 C. In these tests, the rods were found to have lost weight due to vaporization of the tungsten and the missing weight was collected in the downstream condensate

  9. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  10. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  11. Algorithm for the calculation of a steam generator efficiency; Algoritmo para el calculo de la eficiencia de un generador de vapor

    Energy Technology Data Exchange (ETDEWEB)

    Franco, David; Ambriz, Juan Jose; Romero Paredes, Hernando [Universidad Autonoma Metropolitana-Iztapalapa, Mexico, D. F. (Mexico)

    1994-12-31

    The efficiency calculation of steam generators is not always simple. The purpose of this paper is to propose an algorithm for the calculation of steam generators efficiency, easy to understand and carry out, in the form of a series of steps to be followed. It takes as starting point that the person in charge of applying these calculations has knowledge of the combustion processes and thermodynamic principles that rule such processes. [Espanol] El calculo de la eficiencia de los generadores de vapor no siempre es sencillo, el presente trabajo tiene como objetivo el de proponer un algoritmo de calculo de eficiencia de generadores de vapor, el cual sea facil de entender y de llevar a cabo, en forma de una serie de pasos a seguir. Se toma como punto de partida, que la persona encargada de aplicar estos calculos tenga el conocimiento de los procesos de combustion y principios termodinamicos que rigen tales procesos.

  12. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  13. Effect of temperature for synthesizing single-walled carbon nanotubes by catalytic chemical vapor deposition over Mo-Co-MgO catalyst

    International Nuclear Information System (INIS)

    Niu Zhiqiang; Fang Yan

    2008-01-01

    The influence of temperature on synthesizing single-walled carbon nanotubes (SWCNTs) by catalytic chemical vapor deposition of methane over Mo-Co-MgO catalyst was studied by Transmission Electron Microscope (TEM) and Raman scattering. The Mo-Co-MgO bimetallic catalyst was prepared by decomposing the mixture of magnesium nitrate, ammonium molybdate, citric acid, and cobalt nitrate. The results show that Mo-Co-MgO bimetallic catalyst is effective to synthesize SWCNTs. By using Mo-Co-MgO bimetallic catalyst, generation of SWCNTs even at 940 K was demonstrated. The optimum temperature of synthesizing SWCNTs over Mo-Co-MgO bimetallic catalyst may be about 1123 K. At 1123 K, the diameters of SWCNTs are in the range of 0.75-1.65 nm. The content of SWCNTs is increased with the increase of temperature below 1123 K and the carbon yield rate is also increased with the increase of synthesis temperature. Therefore, the amount of SWCNTs increases with the increase of temperature below 1123 K. However, above 1123 K, the content of SWCNTs is decreased with the increase of temperature; therefore, it is not effective to increase the amount of SWCNTs through increasing synthesis temperature above 1123 K

  14. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  15. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  16. Application of hydrocyanic acid vapor generation via focused microwave radiation to the preparation of industrial effluent samples prior to free and total cyanide determinations by spectrophotometric flow injection analysis.

    Science.gov (United States)

    Quaresma, Maria Cristina Baptista; de Carvalho, Maria de Fátima Batista; Meirelles, Francis Assis; Santiago, Vânia Maria Junqueira; Santelli, Ricardo Erthal

    2007-02-01

    A sample preparation procedure for the quantitative determination of free and total cyanides in industrial effluents has been developed that involves hydrocyanic acid vapor generation via focused microwave radiation. Hydrocyanic acid vapor was generated from free cyanides using only 5 min of irradiation time (90 W power) and a purge time of 5 min. The HCN generated was absorbed into an accepting NaOH solution using very simple glassware apparatus that was appropriate for the microwave oven cavity. After that, the cyanide concentration was determined within 90 s using a well-known spectrophotometric flow injection analysis system. Total cyanide analysis required 15 min irradiation time (90 W power), as well as chemical conditions such as the presence of EDTA-acetate buffer solution or ascorbic acid, depending on the effluent to be analyzed (petroleum refinery or electroplating effluents, respectively). The detection limit was 0.018 mg CN l(-1) (quantification limit of 0.05 mg CN l(-1)), and the measured RSD was better than 8% for ten independent analyses of effluent samples (1.4 mg l(-1) cyanide). The accuracy of the procedure was assessed via analyte spiking (with free and complex cyanides) and by performing an independent sample analysis based on the standard methodology recommended by the APHA for comparison. The sample preparation procedure takes only 10 min for free and 20 min for total cyanide, making this procedure much faster than traditional methodologies (conventional heating and distillation), which are time-consuming (they require at least 1 h). Samples from oil (sour and stripping tower bottom waters) and electroplating effluents were analyzed successfully.

  17. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  18. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  19. Superconducting magnesium diboride coatings for radio frequency cavities fabricated by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Wolak, M. A.; Tan, T.; Krick, A.; Johnson, E.; Hambe, M.; Chen, Ke; Xi, X. X.

    2014-01-01

    We have investigated the coating of an inner surface of superconducting radio frequency cavities with a magnesium diboride thin film by hybrid physical-chemical vapor deposition (HPCVD). To simulate a 6 GHz rf cavity, a straight stainless steel tube of 1.5-inch inner diameter and a dummy stainless steel cavity were employed, on which small sapphire and metal substrates were mounted at different locations. The MgB2 films on these substrates showed uniformly good superconducting properties including Tc of 37-40 K, residual resistivity ratio of up to 14, and root-mean-square roughness Rq of 20-30 nm. This work demonstrates the feasibility of coating the interior of cylindrical and curved objects with MgB2 by the HPCVD technique, an important step towards superconducting rf cavities with MgB2 coating.

  20. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  1. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  2. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  3. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  4. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  5. A Temperature Window for the Synthesis of Single-Walled Carbon Nanotubes by Catalytic Chemical Vapor Deposition of CH4over Mo2-Fe10/MgO Catalyst

    Directory of Open Access Journals (Sweden)

    Yu Ouyang

    2009-01-01

    Full Text Available Abstract A temperature window for the synthesis of single-walled carbon nanotubes by catalytic chemical vapor deposition of CH4over Mo2-Fe10/MgO catalyst has been studied by Raman spectroscopy. The results showed that when the temperature is lower than 750 °C, there were few SWCNTs formed, and when the temperature is higher than 950 °C, mass amorphous carbons were formed in the SWCNTs bundles due to the self-decomposition of CH4. The temperature window of SWCNTs efficient growth is between 800 and 950 °C, and the optimum growth temperature is about 900 °C. These results were supported by transmission electron microscope images of samples formed under different temperatures. The temperature window is important for large-scale production of SWCNTs by catalytic chemical vapor deposition method.

  6. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  7. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  8. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  9. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  10. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  11. Growth and process identification of CuInS 2 on GaP by chemical vapor deposition

    Science.gov (United States)

    Hwang, H. L.; Sun, C. Y.; Fang, C. S.; Chang, S. D.; Cheng, C. H.; Yang, M. H.; Lin, H. H.; Tuwan-Mu, H.

    1981-10-01

    Experimental techniques for growing CuInS 2 layers on GaP substrates by the metalorganic method have been developed. Hydrogen sulfide gas together with the vapors of CuCl( NCCH3) n and InCl3( NCCH3) both of which were generated by bubbling nitrogen through sources, using a solvent of acetonitride, were used as transport agents. Various characterization techniques such as atomic absorption (AA), neutron activation analysis (NAA), energy dispersive analysis by X-rays (EDAX), Rutherford back-scattering analysis (RBS), and X-ray analyses were used to help understand the fundamental mechanism of the CVD growth.

  12. Atomic-vapor-laser isotope separation

    International Nuclear Information System (INIS)

    Davis, J.I.

    1982-10-01

    This paper gives a brief history of the scientific considerations leading to the development of laser isotope separation (LIS) processes. The close relationship of LIS to the broader field of laser-induced chemical processes is evaluated in terms of physical criteria to achieve an efficient production process. Atomic-vapor LIS processes under development at Livermore are reviwed. 8 figures

  13. PWR steam generator chemical cleaning. Phase I: solvent and process development. Volume II

    International Nuclear Information System (INIS)

    Larrick, A.P.; Paasch, R.A.; Hall, T.M.; Schneidmiller, D.

    1979-01-01

    A program to demonstrate chemical cleaning methods for removing magnetite corrosion products from the annuli between steam generator tubes and the tube support plates in vertical U-tube steam generators is described. These corrosion products have caused steam generator tube ''denting'' and in some cases have caused tube failures and support plate cracking in several PWR generating plants. Laboratory studies were performed to develop a chemical cleaning solvent and application process for demonstration cleaning of the Indian Point Unit 2 steam generators. The chemical cleaning solvent and application process were successfully pilot-tested by cleaning the secondary side of one of the Indian Point Unit 1 steam generators. Although the Indian Point Unit 1 steam generators do not have a tube denting problem, the pilot test provided for testing of the solvent and process using much of the same equipment and facilities that would be used for the Indian Point Unit 2 demonstration cleaning. The chemical solvent selected for the pilot test was an inhibited 3% citric acid-3% ascorbic acid solution. The application process, injection into the steam generator through the boiler blowdown system and agitation by nitrogen sparging, was tested in a nuclear environment and with corrosion products formed during years of steam generator operation at power. The test demonstrated that the magnetite corrosion products in simulated tube-to-tube support plate annuli can be removed by chemical cleaning; that corrosion resulting from the cleaning is not excessive; and that steam generator cleaning can be accomplished with acceptable levels of radiation exposure to personnel

  14. Fiber-Based, Double-Sided, Reduced Graphene Oxide Films for Efficient Solar Vapor Generation.

    Science.gov (United States)

    Guo, Ankang; Ming, Xin; Fu, Yang; Wang, Gang; Wang, Xianbao

    2017-09-06

    Solar vapor generation is a promising and whole new branch of photothermal conversion for harvesting solar energy. Various materials and devices for solar thermal conversion were successively produced and reported for higher solar energy utilization in the past few years. Herein, a compact device of reduced graphene oxides (rGO) and paper fibers was designed and assembled for efficient solar steam generation under light illumination, and it consists of water supply pipelines (WSP), a thermal insulator (TI) and a double-sided absorbing film (DSF). Heat localization is enabled by the black DSF due to its broad absorption of sunlight. More importantly, the heat transfer, from the hot DSF to the cold base fluid (water), was suppressed by TI with a low thermal conductivity. Meanwhile, bulk water was continuously transported to the DSF by WSP through TI, which was driven by the surface energy and surface tension based on the capillary effect. The effects of reduction degrees of rGO on the photothermal conversion were explored, and the evaporation efficiency reached 89.2% under one sun with 60 mg rGO. This new microdevice provided a basic technical support for distillation, desalination, sewage treatment, and related technologies.

  15. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  16. Effect of working fluids on the performance of a novel direct vapor generation solar organic Rankine cycle system

    International Nuclear Information System (INIS)

    Li, Jing; Alvi, Jahan Zeb; Pei, Gang; Ji, Jie; Li, Pengcheng; Fu, Huide

    2016-01-01

    Highlights: • A novel, flexible direct vapor generation solar ORC is proposed. • Technical feasibility of the system is discussed. • Fluid effect on collector efficiency is explored. • The system is more efficient than solar ORC with HTF. - Abstract: A novel solar organic Rankine cycle (ORC) system with direct vapor generation (DVG) is proposed. A heat storage unit is embedded in the ORC to guarantee the stability of power generation. Compared with conventional solar ORCs, the proposed system avoids the secondary heat transfer intermediate and shows good reaction to the fluctuation of solar radiation. The technical feasibility of the system is discussed. Performance is analyzed by using 17 dry and isentropic working fluids. Fluid effects on the efficiencies of ORC, collectors and the whole system are studied. The results indicate that the collector efficiency generally decreases while the ORC and system efficiencies increase with the increment in fluid critical temperature. At evaporation temperature of 120 °C and solar radiation of 800 Wm −2 , the ORC, collector and overall thermal efficiencies of R236fa are 10.59, 56.14 and 5.08% while their values for Benzene are 12.5, 52.58 and 6.57% respectively. The difference between collector efficiencies using R236fa and Benzene gets larger at lower solar radiation. The heat collection is strongly correlated with latent and sensible heat of the working fluid. Among the fluids, R123 exhibits the highest overall performance and seems to be suitable for the proposed system in the short term.

  17. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  18. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  19. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  20. Structure of metal β-diketonates and their enthalpies of vaporization

    International Nuclear Information System (INIS)

    Domrachev, G.A.; Sevast'yanov, V.G.; Zakharov, L.N.; Krasnodubskaya, S.V.; AN SSSR, Moscow. Inst. Obshchej i Neorganicheskoj Khimii)

    1987-01-01

    Using the method of additive schemes in combinaion with the structural estimation of the degree of screening of the central atom and other elements of β-diketonate molecule while analyzing the experimental enthalpies of vaporization, the contributions of separate fragments of complexes into the enthalpy of vaporization are found. It is shown that energies of intermolecular interaction in a condensed phase of monomeric metal β-diketonates with identical substituents do not depend on the central atom type. The enthalpies of dimer dissociation in a series of rare earth dipivaloylmethanates calculated. The proposed approach is advisable fo selecting forms of metal β-diketonates, the most suitable for the purposes of deep purificaion, which are characterized by maximum chemical and physico-chemical selectivity with respect to impurities, chemical inertness to equipment material, container, etc