WorldWideScience

Sample records for buffered metal substrates

  1. Rare earth zirconium oxide buffer layers on metal substrates

    Science.gov (United States)

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 0layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  2. ZnO buffer layer for metal films on silicon substrates

    Science.gov (United States)

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  3. Sol-gel deposition of buffer layers on biaxially textured metal substances

    Science.gov (United States)

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  4. Conductive and robust nitride buffer layers on biaxially textured substrates

    Science.gov (United States)

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  5. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  6. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  7. Doped LZO buffer layers for laminated conductors

    Science.gov (United States)

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2010-03-23

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the substrate, the biaxially textured buffer layer comprising LZO and a dopant for mitigating metal diffusion through the LZO, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  8. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  9. Strontium Titanate Buffer Layers on Cu/33%Ni Substrates using a Novel Solution Chemistry

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P; Yue, Zhao; Hui, Tian

    2013-01-01

    SrTiO3 is a widely studied perovskite material due to its advantages as a buffer template which can be simply applied between a metal substrate tape and a superconducting layer in 2G high temperature superconducting (HTS) tapes. In this study, heteroepitaxial SrTiO3 thin films were deposited on t...

  10. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  11. Improved interface properties of yttrium oxide buffer layer on silicon substrate for ferroelectric random access memory applications

    International Nuclear Information System (INIS)

    Lim, Dong-Gun; Kwak, Dong-Joo; Yi Junsin

    2002-01-01

    In this paper, we report upon an investigation into the feasibility of Y 2 O 3 films as buffer layers for metal ferroelectric insulator semiconductor type capacitors. Buffer layers were prepared by a two-step process of low temperature film growth using the RF reactive magnetron sputtering method and subsequent rapid thermal annealing. By applying an yttrium metal seed layer of 4 nm, unwanted SiO 2 layer generation was successfully suppressed at the interface between the buffer layer and the Si substrate. Increasing the post-annealing temperature above 700 deg. C reduced the surface roughness of the Y 2 O 3 films, and increasing the O 2 partial pressure from 10 to 20% increased the surface roughness from 4.0 to 15.1 nm. The Y 2 O 3 films, prepared using an O 2 partial pressure of 20% and annealed at 900 deg. C, exhibited the best surface roughness characteristics of the samples studied. For a substrate temperature above 400 deg. C and an O 2 partial pressure of 20%, we observed that a cubic Y 2 O 3 phase dominated the X-ray diffraction spectra. The lowest lattice mismatch achieved between the Y 2 O 3 film and the Si substrate was 1.75%. By using a two-step process, we reduced the leakage current density of Y 2 O 3 films by two orders of magnitude and the D it to as low as 8.72x10 10 cm -2 eV -1 . A Y 2 O 3 buffer layer grown at 400 deg. C in a 20% O 2 partial pressure and rapidly annealed at 900 deg. C in an oxygen enviroment exhibited the best overall properties for a single transistor ferroelectric random access memory

  12. Cube textured CeO2, BaZrO3 and LaAlO3 buffer layers on Ni based Substrates

    International Nuclear Information System (INIS)

    Deinhofer, C; Gritzner, G

    2006-01-01

    CeO 2 , BaZrO 3 as well as LaAlO 3 buffer layers were deposited on {100} Ni + 5 weight-% W substrates by a wet chemical technique. The solutions were prepared by dissolving the metal nitrates or acetates and zirconiumacetylacetonate, respectively, in mixtures of acetic acid, methanol and water. The solutions were applied by dip- or spincoating, dried at 135 deg. C and annealed at temperatures between 900 and 1 400 deg. C depending on the buffer layer for 15 min. under Ar-5% H 2 gas flow. Pole-figure measurements proved the exact texture of each buffer layer. Electron microscopy showed dense and smooth buffer layers

  13. Transport losses in single and assembled coated conductors with textured-metal substrate with reduced magnetism

    International Nuclear Information System (INIS)

    Amemiya, N.; Jiang, Z.; Li, Z.; Nakahata, M.; Kato, T.; Ueyama, M.; Kashima, N.; Nagaya, S.; Shiohara, S.

    2008-01-01

    Transport losses in a coated conductor with a textured-metal substrate with reduced magnetism were studied experimentally. The substrate is with a clad structure, and HoBCO superconductor layer is deposited on the substrate with buffer layers. The measured transport loss of a sample whose critical current is 126.0 A falls between Norris's strip value and Norris's ellipse value. The increase in the measured transport loss from Norris's strip value can be attributed to its non-uniform lateral J c distribution. The same buffered clad tape was placed under an IBAD-MOCVD coated conductor with a non-magnetic substrate, and its transport loss was measured. The comparison between the measured transport loss of this sample and that of the identical IBAD-MOCVD coated conductor without the buffered clad tape indicates that the increase in the transport loss due to this buffered clad tape is small. The transport losses of hexagonal assemblies of IBAD-MOCVD coated conductors, whose structure simulates that of superconducting power transmission cables, were also measured where the buffered clad tapes were under-lied or over-lied on the coated conductors. The increase in the transport loss of hexagonal assemblies of coated conductors due to the buffered clad tapes is at an allowable level

  14. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  15. High production rate of IBAD-MgO buffered substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yoshizumi, M., E-mail: myoshizumi@istec.or.j [Superconductivity Research Laboratory, ISTEC, Shinonome 1-10-13, Koto-ku, Tokyo 135-0062 (Japan); Miyata, S.; Ibi, A.; Fukushima, H.; Yamada, Y.; Izumi, T.; Shiohara, Y. [Superconductivity Research Laboratory, ISTEC, Shinonome 1-10-13, Koto-ku, Tokyo 135-0062 (Japan)

    2009-10-15

    The conventional IBAD (Ion Beam Assisted Deposition) process using fluorite materials yields low production rates, resulting in high production cost, which reduces the motivation for practical application in spite of its high quality. The IBAD process using rock salt materials, e.g. MgO, is well known as a strong candidate of practical application due to its potential of high production rate and high in-plane grain alignment. In this work, the IBAD-MgO process was investigated for a newly developed architecture of PLD (Pulsed Laser Deposition)-CeO{sub 2}/sputter-LMO (LaMnO{sub 3})/IBAD-MgO/sputter-GZO (Gd{sub 2}Zr{sub 2}O{sub 7})/Hastelloy{sup TM} to make long buffered metal tapes with high properties and a high production rate. The 50 m-long IBAD-MgO substrates with about 4 deg. of DELTAphiCeO{sub 2} in an XRD phi scan could be fabricated repeatedly. A GdBCO (GdBa{sub 2}Cu{sub 3}O{sub x}) layer deposited on the buffered substrate showed the minimum I{sub c} value of 325 A/cm-w in a 41 m-long tape. Almost of the tape showed 500-600 A/cm-w of I{sub c} value. The deposition time for the IBAD-MgO layer was 60 s which was about 2 orders of magnitude shorter than the conventional IBAD process. The production rate of 24 m/h was realized at the IBAD-MgO process to fabricate the GdBCO coated conductor with high J{sub c} and I{sub c} properties.

  16. Buffer layers for REBCO films for use in superconducting devices

    Science.gov (United States)

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  17. Substrate-induced magnetism in epitaxial graphene buffer layers.

    Science.gov (United States)

    Ramasubramaniam, A; Medhekar, N V; Shenoy, V B

    2009-07-08

    Magnetism in graphene is of fundamental as well as technological interest, with potential applications in molecular magnets and spintronic devices. While defects and/or adsorbates in freestanding graphene nanoribbons and graphene sheets have been shown to cause itinerant magnetism, controlling the density and distribution of defects and adsorbates is in general difficult. We show from first principles calculations that graphene buffer layers on SiC(0001) can also show intrinsic magnetism. The formation of graphene-substrate chemical bonds disrupts the graphene pi-bonds and causes localization of graphene states near the Fermi level. Exchange interactions between these states lead to itinerant magnetism in the graphene buffer layer. We demonstrate the occurrence of magnetism in graphene buffer layers on both bulk-terminated as well as more realistic adatom-terminated SiC(0001) surfaces. Our calculations show that adatom density has a profound effect on the spin distribution in the graphene buffer layer, thereby providing a means of engineering magnetism in epitaxial graphene.

  18. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    Science.gov (United States)

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  19. Organic field-effect transistors with surface modification by using a PVK buffer layer on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hyung, Gun Woo; Lee, Dong Hyung; Koo, Ja Ryong; Kim, Young Kwan [Hongik University, Seoul (Korea, Republic of); Park, Jae Hoon [Electronics and Telecommunications Research Institute, Daejeon (Korea, Republic of)

    2012-11-15

    We have fabricated pentacene thin-film transistors (TFTs) with a gate dielectric such as crosslinked poly(vinyl alcohol) (c-PVA), with poly(9-vinylcarbazole) (PVK) buffer layer on a polyethersulfone (PES) flexible substrate, and with substrate heating at a temperature below 120 .deg. C, and we demonstrated the possibility of using an organic gate dielectric layer as a potential pentacene TFT with a PVK buffer layer for low-voltage operation on a plastic substrate. We report the excellent electrical properties of organic TFTs with a PVK buffer layer. The PVK buffer layer improves the performance of the devices and reduces the operating voltage of the devices. Our pentacene TFTs can be fabricated with mobilities > 2.54 cm{sup 2}/Vs and on/off current ratios > 7.5E5 and with flexible organic dielectrics and substrates.

  20. Growth of simplified buffer template on flexible metallic substrates for YBa2Cu3O7-δ coated conductors

    International Nuclear Information System (INIS)

    Xue, Yan; Zhang, Ya-Hui; Zhang, Fei; Zhao, Rui-Peng; Wang, Hui; Xiong, Jie; Tao, Bo-Wan

    2016-01-01

    A much simplified buffer structure, including a three-layer stack of LaMnO 3 /MgO/composite Y 2 O 3 –Al 2 O 3 , was proposed for high performance YBa 2 Cu 3 O 7-δ (YBCO) coated conductors. In this structure, biaxially textured MgO films were prepared on solution deposition planarized amorphous substrate through ion-beam-assisted deposition (IBAD) technology. By the use of in situ reflection high-energy electron diffraction monitor, X-ray diffraction and atomic force microscope, the influence of deposition parameters, such as film deposition rate, ion penetrate energy and ion beam flux, on crystalline orientation, texture, lattice parameter and surface morphology was systematically investigated. Moreover, stopping and range of ion in mater simulation was performed to study the effects of ion bombardment on MgO films. By optimizing IBAD process parameters, the best biaxial texture showed ω-scan of (002) MgO and Φ-scan of (220) MgO yield full width at half maximum values of 2.4° and 3.7°, indicating excellent biaxial texture. Subsequently, LaMnO 3 films were directly deposited on the IBAD-MgO template to improve the lattice mismatch between MgO and YBCO. Finally, YBCO films grown on this simplified buffer template exhibited a critical current density of 2.4 MA/cm 2 at 77 K and self-field, demonstrating the feasibility of this buffer structure. - Highlights: • Simplified buffer structure for YBCO coated conductors. • Growth of biaxially textured MgO films on flexible amorphous substrates. • Studying the influence of film deposition rate, ion energy and ion beam flux on the development of biaxial texture. • Demonstrating highly oriented YBCO films with a critical current density of 2.4 MA/cm 2 at self-field and 77 K.

  1. Preparation of a Novel Ce0.9La0.1O2/Gd2Zr2O7 Buffer Layer Stack on NiW Alloy Substrates by the MOD Route

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Abrahamsen, Asger Bech

    2011-01-01

    An optimized buffer layer architecture prepared by a metal organic deposition method on biaxially textured metallic substrate is proposed and developed successfully. The major achievement of this work is to choose a ${\\rm Ce}_{0.9}{\\rm La}_{0.1}{\\rm O}_{2}$ layer as cap layer that possesses an ex...

  2. Clad buffer rod sensors for liquid metals

    International Nuclear Information System (INIS)

    Jen, C.-K.; Ihara, I.

    1999-01-01

    Clad buffer rods, consisting of a core and a cladding, have been developed for ultrasonic monitoring of liquid metal processing. The cores of these rods are made of low ultrasonic-loss materials and the claddings are fabricated by thermal spray techniques. The clad geometry ensures proper ultrasonic guidance. The lengths of these rods ranges from tens of centimeters to 1m. On-line ultrasonic level measurements in liquid metals such as magnesium at 700 deg C and aluminum at 960 deg C are presented to demonstrate their operation at high temperature and their high ultrasonic performance. A spherical concave lens is machined at the rod end for improving the spatial resolution. High quality ultrasonic images have been obtained in the liquid zinc at 600 deg C. High spatial resolution is needed for the detection of inclusions in liquid metals during processing. We also show that the elastic properties such as density, longitudinal and shear wave velocities of liquid metals can be measured using a transducer which generates and receives both longitudinal and shear waves and is mounted at the end of a clad buffer rod. (author)

  3. Application of a mixed metal oxide catalyst to a metallic substrate

    Science.gov (United States)

    Sevener, Kathleen M. (Inventor); Lohner, Kevin A. (Inventor); Mays, Jeffrey A. (Inventor); Wisner, Daniel L. (Inventor)

    2009-01-01

    A method for applying a mixed metal oxide catalyst to a metallic substrate for the creation of a robust, high temperature catalyst system for use in decomposing propellants, particularly hydrogen peroxide propellants, for use in propulsion systems. The method begins by forming a prepared substrate material consisting of a metallic inner substrate and a bound layer of a noble metal intermediate. Alternatively, a bound ceramic coating, or frit, may be introduced between the metallic inner substrate and noble metal intermediate when the metallic substrate is oxidation resistant. A high-activity catalyst slurry is applied to the surface of the prepared substrate and dried to remove the organic solvent. The catalyst layer is then heat treated to bind the catalyst layer to the surface. The bound catalyst layer is then activated using an activation treatment and calcinations to form the high-activity catalyst system.

  4. Metal oxide nanorod arrays on monolithic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Pu-Xian; Guo, Yanbing; Ren, Zheng

    2018-01-02

    A metal oxide nanorod array structure according to embodiments disclosed herein includes a monolithic substrate having a surface and multiple channels, an interface layer bonded to the surface of the substrate, and a metal oxide nanorod array coupled to the substrate surface via the interface layer. The metal oxide can include ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide. The substrate can include a glass substrate, a plastic substrate, a silicon substrate, a ceramic monolith, and a stainless steel monolith. The ceramic can include cordierite, alumina, tin oxide, and titania. The nanorod array structure can include a perovskite shell, such as a lanthanum-based transition metal oxide, or a metal oxide shell, such as ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide, or a coating of metal particles, such as platinum, gold, palladium, rhodium, and ruthenium, over each metal oxide nanorod. Structures can be bonded to the surface of a substrate and resist erosion if exposed to high velocity flow rates.

  5. Study of buffer substrate and Arenga wood fiber size on hydroponic Kailan (Brassica alboglabra)

    Science.gov (United States)

    Harjoko, D.; Anggraheny, M. D.; Arniputri, R. B.

    2018-03-01

    Kailan is a kind of vegetable that has high economic value, however its prospect is not well developed. One of obstacles in Kailan cultivation is the limitation of fertile soil, that can be solved by using hydroponic substrate. Considering its amount and potential, the fiber waste of Arenga wood was selected as substrate candidate. For that, this research aims to study the growth and yield of Kailan with different soaking treatment using buffer solution and size of Arenga wood fiber in the hydroponic substrate. Research was conducted at Green House Laboratory, Faculty of Agriculture Sebelas Maret University Surakarta from February to May 2017. The treatments were soaking buffer solution with EC 1.2 mScm-1; 1.4 mScm-1; and 1.6 mScm-1 and the size of Arenga fiber <1 cm, 1-2 cm and 2-3 cm. In this experiment, sand media was used as control. Result show that, soaking in 1.6 mScm-1 EC buffer solution with Arenga fiber size lower than 3 cm gives higher root volume compared to other treatments combination.

  6. Buffer Film Assisted Growth of Dense MWCNTs on Copper Foils for Flexible Electrochemical Applications

    Directory of Open Access Journals (Sweden)

    Udomdej Pakdee

    2017-01-01

    Full Text Available The novel Inconel buffer films were prepared on copper foils using unbalance direct current (DC magnetron sputtering. These films were employed as buffer layers for supporting the dense growth of multiwalled carbon nanotubes (MWCNTs. Thermal chemical vapor deposition (CVD with metal alloys such as stainless steel (SS type 304 films was considered to synthesize MWCNTs. To understand the effectiveness of these buffer films, the MWCNTs grown on buffer-free layer were carried out as a comparison. The main problem such as the diffusion of catalysts into the oxide layer of metal substrate during the CVD process was solved together with a creation of good electrical contact between substrate and nanotubes. The morphologies, crystallinities, and electrochemical behaviors of MWCNTs grown on Inconel buffer films with 304 SS catalysts revealed the better results for applying in flexible electrochemical applications.

  7. Growth and BZO-doping of the nanostructured YBCO thin films on buffered metal substrates

    DEFF Research Database (Denmark)

    Huhtinen, H.; Irjala, M.; Paturi, P.

    2010-01-01

    The growth of the nanostructured YBa2Cu3O6+x (YBCO) films is investigated for the first time on biaxially textured NiW substrates used in coated conductor technology. The optimization process of superconducting layers is made in wide magnetic field and temperature range in order to understand...... the vortex pinning structure and mechanism in our films prepared from nanostructured material. Structural analysis shows that growth mechanism in YBCO films grown on NiW is completely different when compared to YBCO on STO. Films on NiW are much rougher, there is huge in-plane variation of YBCO crystals...... and moreover out-of-plane long range lattice ordering is greatly reduced. Magnetic measurements demonstrate that jc in films grown on NiW is higher in high magnetic fields and low temperatures. This effect is connected to the amount of pinning centres observed in films on metal substrates which are effective...

  8. Back contact to film silicon on metal for photovoltaic cells

    Science.gov (United States)

    Branz, Howard M.; Teplin, Charles; Stradins, Pauls

    2013-06-18

    A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.

  9. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  10. Growth of simplified buffer template on flexible metallic substrates for YBa{sub 2}Cu{sub 3}O{sub 7-δ} coated conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Yan; Zhang, Ya-Hui; Zhang, Fei; Zhao, Rui-Peng [State Key Laboratory of Electronic Thin Film and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, 610054 (China); Wang, Hui [Applied Research Laboratory of Superconduction and New Material, Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing, 100190 (China); Xiong, Jie, E-mail: jiexiong@uestc.edu.cn [State Key Laboratory of Electronic Thin Film and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, 610054 (China); Tao, Bo-Wan [State Key Laboratory of Electronic Thin Film and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, 610054 (China)

    2016-07-15

    A much simplified buffer structure, including a three-layer stack of LaMnO{sub 3}/MgO/composite Y{sub 2}O{sub 3}–Al{sub 2}O{sub 3}, was proposed for high performance YBa{sub 2}Cu{sub 3}O{sub 7-δ} (YBCO) coated conductors. In this structure, biaxially textured MgO films were prepared on solution deposition planarized amorphous substrate through ion-beam-assisted deposition (IBAD) technology. By the use of in situ reflection high-energy electron diffraction monitor, X-ray diffraction and atomic force microscope, the influence of deposition parameters, such as film deposition rate, ion penetrate energy and ion beam flux, on crystalline orientation, texture, lattice parameter and surface morphology was systematically investigated. Moreover, stopping and range of ion in mater simulation was performed to study the effects of ion bombardment on MgO films. By optimizing IBAD process parameters, the best biaxial texture showed ω-scan of (002) MgO and Φ-scan of (220) MgO yield full width at half maximum values of 2.4° and 3.7°, indicating excellent biaxial texture. Subsequently, LaMnO{sub 3} films were directly deposited on the IBAD-MgO template to improve the lattice mismatch between MgO and YBCO. Finally, YBCO films grown on this simplified buffer template exhibited a critical current density of 2.4 MA/cm{sup 2} at 77 K and self-field, demonstrating the feasibility of this buffer structure. - Highlights: • Simplified buffer structure for YBCO coated conductors. • Growth of biaxially textured MgO films on flexible amorphous substrates. • Studying the influence of film deposition rate, ion energy and ion beam flux on the development of biaxial texture. • Demonstrating highly oriented YBCO films with a critical current density of 2.4 MA/cm{sup 2} at self-field and 77 K.

  11. Reel-to-reel substrate tape polishing system

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, Venkat; Gardner, Michael T.; Judd, Raymond D.; Weloth, Martin; Qiao, Yunfei

    2005-06-21

    Disclosed is a reel-to-reel single-pass mechanical polishing system (100) suitable for polishing long lengths of metal substrate tape (124) used in the manufacture of high-temperature superconductor (HTS) coated tape, including multiple instantiations of a polishing station (114) in combination with a subsequent rinsing station (116) arranged along the axis of the metal substrate tape (124) that is translating between a payout spool (110a) and a take-up spool (110b). The metal substrate tape obtains a surface smoothness that is suitable for the subsequent deposition of a buffer layer.

  12. MOD approach for the growth of epitaxial CeO2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors

    International Nuclear Information System (INIS)

    Bhuiyan, M S; Paranthaman, M; Sathyamurthy, S; Aytug, T; Kang, S; Lee, D F; Goyal, A; Payzant, E A; Salama, K

    2003-01-01

    We have grown epitaxial CeO 2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors using a newly developed metal organic decomposition (MOD) approach. Precursor solution of 0.25 M concentration was spin coated on short samples of Ni-3 at%W (Ni-W) substrates and heat-treated at 1100 C in a gas mixture of Ar-4%H 2 for 15 min. Detailed x-ray studies indicate that CeO 2 films have good out-of-plane and in-plane textures with full-width-half-maximum values of 5.8 deg. and 7.5 deg., respectively. High temperature in situ XRD studies show that the nucleation of CeO 2 films starts at 600 C and the growth completes within 5 min when heated at 1100 C. SEM and AFM investigations of CeO 2 films reveal a fairly dense microstructure without cracks and porosity. Highly textured YSZ barrier layers and CeO 2 cap layers were deposited on MOD CeO 2 -buffered Ni-W substrates using rf-magnetron sputtering. Pulsed laser deposition (PLD) was used to grow YBCO films on these substrates. A critical current, J c , of about 1.5 MA cm -2 at 77 K and self-field was obtained on YBCO (PLD)/CeO 2 (sputtered)/YSZ (sputtered)/CeO 2 (spin-coated)/Ni-W

  13. Improvement of IBAD-MgO texturing for high throughput of buffered substrate

    International Nuclear Information System (INIS)

    Ito, T.; Takahashi, Y.; Matsuse, K.; Kuriki, R.; Tokumaru, M.; Yoshizumi, M.; Izumi, T.

    2011-01-01

    The requirements from the market on two important factors of performance and cost need to be satisfied for commercialization of the coated conductors. Highly biaxially grain texturing with high production rate should be realized from the perspective of buffer layers processing. IBAD-MgO process is one of the major techniques which are possible to satisfy those requirements. The structure of our buffered substrate is IBS-GZO/IBAD-MgO/RFsputter-LaMnO 3 /PLD-CeO 2 . The PLD-CeO 2 process is the rate limiting and cost dominant one in this architecture. It is proposed that the self-texturing CeO 2 layer thickness could be reduced by optimization of the MgO processing due to higher MgO texturing and/or effective growth of self-texturing CeO 2 . Influence of the IBAD beam conditions and deposition time has been studied to optimize the IBAD conditions. Optimized IBAD conditions were decided from the viewpoints of in-plane grain texturing and the stability to obtain high texturing on fabrication. The Δφ value of CeO 2 layer was improved from 4-5 o to 3-3.5 o by the optimization. This buffered substrate gave high and uniform I c values of 524-565 A/cm-width for 50 m long GdBCO (1.5 μm) tape, indicating uniform distribution of Δφ(CeO 2 ). This improvement of Δφ(CeO 2 ) enables to reduce the CeO 2 thickness down to 300 nm without making Δφ(CeO 2 ) > 5 o , which improves CeO 2 throughput from 10 m/h to 30 m/h. A 50 m long patch sample showed more uniform Δφ distribution around 4 o even by high speed of 30 m/h as CeO 2 through-put. Highly and uniformly textured CeO 2 buffered substrate was obtained in 100 m long cost-effectively by optimization of IBAD-MgO processing.

  14. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. The W-W02 Oxygen Fugacity Buffer at High Pressures and Temperatures: Implications for f02 Buffering and Metal-silicate Partitioning

    Science.gov (United States)

    Shofner, G. A.; Campbell, A. J.; Danielson, L.; Righter, K.

    2013-01-01

    Oxygen fugacity (fO2) controls multivalent phase equilibria and partitioning of redox-sensitive elements, and it is important to understand this thermodynamic parameter in experimental and natural systems. The coexistence of a metal and its oxide at equilibrium constitutes an oxygen buffer which can be used to control or calculate fO2 in high pressure experiments. Application of 1-bar buffers to high pressure conditions can lead to inaccuracies in fO2 calculations because of unconstrained pressure dependencies. Extending fO2 buffers to pressures and temperatures corresponding to the Earth's deep interior requires precise determinations of the difference in volume (Delta) V) between the buffer phases. Synchrotron x-ray diffraction data were obtained using diamond anvil cells (DAC) and a multi anvil press (MAP) to measure unit cell volumes of W and WO2 at pressures and temperatures up to 70 GPa and 2300 K. These data were fitted to Birch-Murnaghan 3rd-order thermal equations of state using a thermal pressure approach; parameters for W are KT = 306 GPa, KT' = 4.06, and aKT = 0.00417 GPa K-1. Two structural phase transitions were observed for WO2 at 4 and 32 GPa with structures in P21/c, Pnma and C2/c space groups. Equations of state were fitted for these phases over their respective pressure ranges yielding the parameters KT = 190, 213, 300 GPa, KT' = 4.24, 5.17, 4 (fixed), and aKT = 0.00506, 0.00419, 0.00467 GPa K-1 for the P21/c, Pnma and C2/c phases, respectively. The W-WO2 buffer (WWO) was extended to high pressure by inverting the W and WO2 equations of state to obtain phase volumes at discrete pressures (1-bar to 100 GPa, 1 GPa increments) along isotherms (300 to 3000K, 100 K increments). The slope of the absolute fO2 of the WWO buffer is positive with increasing temperature up to approximately 70 GPa and is negative above this pressure. The slope is positive along isotherms from 1000 to 3000K with increasing pressure up to at least 100 GPa. The WWO buffer is at

  16. Improvement of IBAD-MgO texturing for high throughput of buffered substrate

    Energy Technology Data Exchange (ETDEWEB)

    Ito, T., E-mail: t-ito@istec.or.jp [Superconductivity Research Laboratory, ISTEC, 1-10-13, Shinonome, Koto-ku, Tokyo 135-0062 (Japan); Takahashi, Y.; Matsuse, K.; Kuriki, R.; Tokumaru, M.; Yoshizumi, M.; Izumi, T. [Superconductivity Research Laboratory, ISTEC, 1-10-13, Shinonome, Koto-ku, Tokyo 135-0062 (Japan)

    2011-11-15

    The requirements from the market on two important factors of performance and cost need to be satisfied for commercialization of the coated conductors. Highly biaxially grain texturing with high production rate should be realized from the perspective of buffer layers processing. IBAD-MgO process is one of the major techniques which are possible to satisfy those requirements. The structure of our buffered substrate is IBS-GZO/IBAD-MgO/RFsputter-LaMnO{sub 3}/PLD-CeO{sub 2}. The PLD-CeO{sub 2} process is the rate limiting and cost dominant one in this architecture. It is proposed that the self-texturing CeO{sub 2} layer thickness could be reduced by optimization of the MgO processing due to higher MgO texturing and/or effective growth of self-texturing CeO{sub 2}. Influence of the IBAD beam conditions and deposition time has been studied to optimize the IBAD conditions. Optimized IBAD conditions were decided from the viewpoints of in-plane grain texturing and the stability to obtain high texturing on fabrication. The {Delta}{phi} value of CeO{sub 2} layer was improved from 4-5{sup o} to 3-3.5{sup o} by the optimization. This buffered substrate gave high and uniform I{sub c} values of 524-565 A/cm-width for 50 m long GdBCO (1.5 {mu}m) tape, indicating uniform distribution of {Delta}{phi}(CeO{sub 2}). This improvement of {Delta}{phi}(CeO{sub 2}) enables to reduce the CeO{sub 2} thickness down to 300 nm without making {Delta}{phi}(CeO{sub 2}) > 5{sup o}, which improves CeO{sub 2} throughput from 10 m/h to 30 m/h. A 50 m long patch sample showed more uniform {Delta}{phi} distribution around 4{sup o} even by high speed of 30 m/h as CeO{sub 2} through-put. Highly and uniformly textured CeO{sub 2} buffered substrate was obtained in 100 m long cost-effectively by optimization of IBAD-MgO processing.

  17. High quality InAsSb grown on InP substrates using AlSb/AlAsSb buffer layers

    International Nuclear Information System (INIS)

    Wu, B.-R.; Liao, C.; Cheng, K. Y.

    2008-01-01

    High quality InAsSb grown on semi-insulating InP substrates by molecular beam epitaxy was achieved using AlSb/AlAsSb structure as the buffer layer. A 1000 A InAsSb layer grown on top of 1 μm AlSb/AlAsSb buffer layer showed a room temperature electron mobility of ∼12 000 cm 2 /V s. High structural quality and low misfit defect density were also demonstrated in the InAsSb layer. This novel AlSb/AlAsSb buffer layer structure with the AlAsSb layer lattice matched to InP substrates could enhance the performance of optoelectronic devices utilizing 6.1 A family of compound semiconductor alloys

  18. Enhanced electrical and magnetic properties in La0.7Sr0.3MnO3 thin films deposited on CaTiO3-buffered silicon substrates

    Directory of Open Access Journals (Sweden)

    C. Adamo

    2015-06-01

    Full Text Available We investigate the suitability of an epitaxial CaTiO3 buffer layer deposited onto (100 Si by reactive molecular-beam epitaxy (MBE for the epitaxial integration of the colossal magnetoresistive material La0.7Sr0.3MnO3 with silicon. The magnetic and electrical properties of La0.7Sr0.3MnO3 films deposited by MBE on CaTiO3-buffered silicon (CaTiO3/Si are compared with those deposited on SrTiO3-buffered silicon (SrTiO3/Si. In addition to possessing a higher Curie temperature and a higher metal-to-insulator transition temperature, the electrical resistivity and 1/f noise level at 300 K are reduced by a factor of two in the heterostructure with the CaTiO3 buffer layer. These results are relevant to device applications of La0.7Sr0.3MnO3 thin films on silicon substrates.

  19. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  20. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  1. Study of High Quality Indium Nitride Films Grown on Si(100 Substrate by RF-MOMBE with GZO and AlN Buffer Layers

    Directory of Open Access Journals (Sweden)

    Wei-Chun Chen

    2012-01-01

    Full Text Available Wurtzite structure InN films were prepared on Si(100 substrates using radio-frequency metal-organic molecular beam epitaxy (RF-MOMBE system. Ga-doped ZnO (GZO and Amorphous AlN (a-AlN film were used as buffer layers for InN films growth. Structural, surface morphology and optical properties of InN films were investigated by X-ray diffraction (XRD, field emission scanning electron microscopy (FE-SEM, transmission electron microscopy (TEM, and photoluminescence (PL. XRD results indicated that all InN films exhibited preferred growth orientation along the c-axis with different intermediate buffers. TEM images exhibit the InN/GZO growth by two-dimensional mode and thickness about 900 nm. Also, the InN films can be obtained by growth rate about ~1.8 μm/h. Optical properties indicated that the band gap of InN/GZO is about 0.79 eV. These results indicate that the control of buffer layer is essential for engineering the growth of InN on silicon wafer.

  2. Adhesion of rhodium films on metallic substrates

    International Nuclear Information System (INIS)

    Marot, L.; Covarel, G.; Tuilier, M.-H.; Steiner, R.; Oelhafen, P.

    2008-01-01

    Rhodium coated metallic films were prepared by magnetron sputtering on metallic substrates. All films were elaborated in same conditions on copper, molybdenum and stainless steel. Adhesion strength tests were carried out by scratch test. The results reveal that the adhesion strength between the film and the substrate is influenced by the hardness of the substrate. Increase of deposition temperature improves the adhesion of the coating. In addition, pre-treatment of substrates by a filtered cathodic vacuum arc and the layer thickness have has some effects on the final adhesion strength

  3. Adhesion of rhodium films on metallic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marot, L. [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)], E-mail: laurent.marot@unibas.ch; Covarel, G.; Tuilier, M.-H. [Laboratoire Mecanique, Materiaux et Procedes de Fabrication, Pole STIC-SPI-Math 61 rue Albert Camus, Universite de Haute-Alsace, F-68093 - Mulhouse Cedex (France); Steiner, R.; Oelhafen, P. [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2008-09-01

    Rhodium coated metallic films were prepared by magnetron sputtering on metallic substrates. All films were elaborated in same conditions on copper, molybdenum and stainless steel. Adhesion strength tests were carried out by scratch test. The results reveal that the adhesion strength between the film and the substrate is influenced by the hardness of the substrate. Increase of deposition temperature improves the adhesion of the coating. In addition, pre-treatment of substrates by a filtered cathodic vacuum arc and the layer thickness have has some effects on the final adhesion strength.

  4. Influence of electrode, buffer gas and control gear on metal halide lamp performance

    International Nuclear Information System (INIS)

    Lamouri, A; Naruka, A; Sulcs, J; Varanasi, C V; Brumleve, T R

    2005-01-01

    In this paper the influence of electrode composition, buffer gas fill pressure and control gear on the performance of metal halide lamps is investigated. It is shown that pure tungsten electrodes improve lumen maintenance and reduce voltage rise over lamp life. An optimum buffer gas fill pressure condition is discovered which allows for reduced electrode erosion during lamp starting as well as under normal operating conditions. Use of electronic control gear is shown to improve the performance of metal halide lamps

  5. Effects of grazing management and buffer strips on metal runoff from pastures fertilized with poultry litter

    Science.gov (United States)

    Metal runoff from fields fertilized with poultry litter may pose a threat to aquatic systems. Buffer strips have been added to fields to reduce nutrients and solids runoff. However, scant information exists on the effects of buffer strips combined with grazing management strategies on metal runoff f...

  6. Buffer layers and articles for electronic devices

    Science.gov (United States)

    Paranthaman, Mariappan P.; Aytug, Tolga; Christen, David K.; Feenstra, Roeland; Goyal, Amit

    2004-07-20

    Materials for depositing buffer layers on biaxially textured and untextured metallic and metal oxide substrates for use in the manufacture of superconducting and other electronic articles comprise RMnO.sub.3, R.sub.1-x A.sub.x MnO.sub.3, and combinations thereof; wherein R includes an element selected from the group consisting of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Y, and A includes an element selected from the group consisting of Be, Mg, Ca, Sr, Ba, and Ra.

  7. Investigation of CeO2 Buffer Layer Effects on the Voltage Response of YBCO Transition-Edge Bolometers

    DEFF Research Database (Denmark)

    Mohajeri, Roya; Nazifi, Rana; Wulff, Anders Christian

    2016-01-01

    The effect on the thermal parameters of superconducting transition-edge bolometers produced on a single crystalline SrTiO3 (STO) substrate with and without a CeO2 buffer layer was investigated. Metal-organic deposition was used to deposit the 20-nm CeO2 buffer layer, whereas RF magnetron sputtering...

  8. Control of threading dislocations by strain engineering in GaInP buffers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, K.L., E-mail: klli2010@sinano.ac.cn [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Sun, Y.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Dong, J.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); He, Y.; Zeng, X.L. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Zhao, Y.M.; Yu, S.Z.; Zhao, C.Y. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China)

    2015-10-30

    High quality strain-relaxed In0.3Ga0.7As layers with threading dislocation density about 2 × 10{sup 6} cm{sup −2} and root-mean-square surface roughness below 8.0 nm were obtained on GaAs substrates using compositionally undulating step-graded Ga{sub 1−x}In{sub x}P (x = 0.48–0.78) buffers. The transmission electron microscopy results reveal that the conventional step-graded GaInP buffers produce high density dislocation pile-ups, which are induced by the blocking effect of the nonuniform misfit dislocation strain field and crosshatched surface on the gliding of threading dislocations. In contrast, due to strain compensation, insertion of the tensile GaInP layers decreases the surface roughness and promotes dislocation annihilation in the interfaces, and eventually reduces the threading dislocation density. This provides a promising way to achieve a virtual substrate with the desired lattice parameter for metamorphic device applications. - Highlights: • Metamorphic GaInP buffers were grown by metal–organic chemical vapor deposition. • The compositionally undulating buffers effectively reduce the threading dislocation density. • High quality strain-relaxed In{sub 0.3}Ga{sub 0.7}As layers were obtained.

  9. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  10. Preparation of MgO Films as Buffer Layers by Laser-ablation at Various Substrate Temperatures

    Institute of Scientific and Technical Information of China (English)

    LI Ling; WANG Chuanbin; WANG Fang; SHEN Qiang; ZHANG Lianmeng

    2011-01-01

    MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxide films on Si substrates.The effect of Tsub on the preferred orientation,crystallinity and surface morphology of the films was investigated.MgO films in single-phase were obtained at 473-973 K.With increasing Tsub,the preferred orientation of the films changed from (200) to (111).The crystallinity and surface morphology was different too,depending on Tsub·At Tsub=673 K,the MgO film became uniform and smooth,exhibiting high crystallinity and a dense texture.

  11. Ligand-enhanced electrokinetic remediation of metal-contaminated marine sediments with high acid buffering capacity.

    Science.gov (United States)

    Masi, Matteo; Iannelli, Renato; Losito, Gabriella

    2016-06-01

    The suitability of electrokinetic remediation for removing heavy metals from dredged marine sediments with high acid buffering capacity was investigated. Laboratory-scale electrokinetic remediation experiments were carried out by applying two different voltage gradients to the sediment (0.5 and 0.8 V/cm) while circulating water or two different chelating agents at the electrode compartments. Tap water, 0.1 M citric acid and 0.1 M ethylenediaminetetraacetic acid (EDTA) solutions were used respectively. The investigated metals were Zn, Pb, V, Ni and Cu. In the unenhanced experiment, the acid front could not propagate due to the high acid buffering capacity of the sediments; the production of OH(-) ions at the cathode resulted in a high-pH environment causing the precipitation of CaCO3 and metal hydroxides. The use of citric acid prevented the formation of precipitates, but solubilisation and mobilisation of metal species were not sufficiently achieved. Metal removal was relevant when EDTA was used as the conditioning agent, and the electric potential was raised up to 0.8 V/cm. EDTA led to the formation of negatively charged complexes with metals which migrated towards the anode compartment by electromigration. This result shows that metal removal from sediments with high acid buffering capacity may be achieved by enhancing the electrokinetic process by EDTA addition when the acidification of the medium is not economically and/or environmentally sustainable.

  12. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  13. Substrate effects on terahertz metamaterial resonances for various metal thicknesses

    International Nuclear Information System (INIS)

    Park, S. J.; Ahn, Y. H.

    2014-01-01

    We demonstrate dielectric substrate effects on the resonance shift of terahertz metamaterials with various metal thicknesses by using finite-difference time-domain simulations. We found a small red shift in the metamaterial resonance with increasing metal thickness for the free-standing case. Conversely, when the metamaterial pattern was supported by a substrate with a high dielectric constant, the resonant frequency exhibited a large blue shift because the relative contribution of the substrate's refractive index to the resonant frequency decreased drastically as we increased the metal thickness. We determined the substrate's refractive index, 1.26, at which the metamaterial resonance was independent of the metal thickness. We extracted the effective refractive index as a function of the substrate's refractive index explicitly, which was noticeably different for different film thicknesses.

  14. System and process for aluminization of metal-containing substrates

    Science.gov (United States)

    Chou, Yeong-Shyung; Stevenson, Jeffry W

    2015-11-03

    A system and method are detailed for aluminizing surfaces of metallic substrates, parts, and components with a protective alumina layer in-situ. Aluminum (Al) foil sandwiched between the metallic components and a refractory material when heated in an oxidizing gas under a compression load at a selected temperature forms the protective alumina coating on the surface of the metallic components. The alumina coating minimizes evaporation of volatile metals from the metallic substrates, parts, and components in assembled devices during operation at high temperature that can degrade performance.

  15. System and process for aluminization of metal-containing substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Yeong-Shyung; Stevenson, Jeffry W.

    2017-12-12

    A system and method are detailed for aluminizing surfaces of metallic substrates, parts, and components with a protective alumina layer in-situ. Aluminum (Al) foil sandwiched between the metallic components and a refractory material when heated in an oxidizing gas under a compression load at a selected temperature forms the protective alumina coating on the surface of the metallic components. The alumina coating minimizes evaporation of volatile metals from the metallic substrates, parts, and components in assembled devices that can degrade performance during operation at high temperature.

  16. Effect of Cu buffer layer on magnetic anisotropy of cobalt thin films deposited on MgO(001 substrate

    Directory of Open Access Journals (Sweden)

    Syed Sheraz Ahmad

    2016-11-01

    Full Text Available Cobalt thin films with 5 nm thickness were prepared on single-crystal MgO (001 substrates with different thickness Cu buffer (0 nm, 5 nm, 10 nm, 20 nm. The structure, magnetic properties and transport behaviors were investigated by employing low-energy-electron-diffraction (LEED, magneto-optical Kerr effect (MOKE and anisotropic magnetoresistance (AMR. By comparing the magnetic properties of the sample as-deposited (without Cu buffer layer one with those having the buffer Cu, we found that the magnetic anisotropy was extremely affected by the Cu buffer layer. The magnetic anisotropy of the as-deposited, without buffer layer, sample shows the uniaxial magnetic anisotropy (UMA. We found that the symmetry of the magnetic anisotropy is changed from UMA to four-fold when the thickness of the Cu buffer layer reaches to 20 nm. Meanwhile, the coercivity increased from 49 Oe (without buffer layer to 300 Oe (with 20 nm Cu buffer, in the easy axis direction, as the thickness of the buffer layer increases. Moreover, the magnitudes of various magnetic anisotropy constants were determined from torque curves on the basis of AMR results. These results support the phenomenon shown in the MOKE.

  17. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  18. The effect of metal-buffer bilayer drain/source electrodes on the operational stability of the organic field effect transistors

    International Nuclear Information System (INIS)

    Karimi-Alavijeh, H.R.; Ehsani, A.

    2015-01-01

    In this paper, we have investigated experimentally the effect of different drain/source (D/S) electrodes and charge injection buffer layers on the electrical properties and operational stability of a stilbene organic field effect transistor (OFET). The results show that the organic buffer layer of copper phthalocyanine (CuPc) considerably improves the electrical properties of the transistors, but has a negligible effect on their temporal behavior. On the other hand, inorganic metal-oxide buffer layer of molybdenum oxide (MoO 3 ) drastically changes both the electrical properties and operational stability. The functionalities of this metal-oxide tightly depend on the properties of the D/S metallic electrodes. OFETs with Al/MoO 3 as the bilayer D/S electrodes have the best electrical properties: field effect mobility μ eff = 0.32 cm 2 V −1 s −1 and threshold voltage V TH = − 5 V and the transistors with Ag/MoO 3 have the longest operational stability. It was concluded that the chemical stability of the metal/metal-oxide or metal/organic interfaces of the bilayer D/S electrodes determine the operational stability of the OFETs. - Highlights: • The effect of buffer layers on the performance of the stilbene OFETs has been investigated. • Inorganic buffer layer improved the electrical and temporal behaviors simultaneously. • Organic buffer layer only changes the electrical properties. • Chemical stability of the interfaces determines the operational stability of the transistor

  19. Development of MoOx thin films as back contact buffer for CdTe solar cells in substrate configuration

    International Nuclear Information System (INIS)

    Gretener, C.; Perrenoud, J.; Kranz, L.; Baechler, C.; Yoon, S.; Romanyuk, Y.E.; Buecheler, S.; Tiwari, A.N.

    2013-01-01

    Molybdenum oxide compounds exhibit unique electrical and optical properties depending on oxygen vacancy concentration and composition and therefore, have recently attracted a lot of attention as a hole transport layer in various devices. In this work CdTe solar cells in substrate configuration were grown with evaporated MoO x back contact buffer layers and efficiencies of up to 10% could be achieved without using Cu in the back contact processing. The buffer layer – at the CdTe/back contact interface – in the finished cell was found to consist of MoO 2 phase instead of the expected MoO 3 phase as observed in as-deposited or annealed MoO x layers without CdTe deposition. In order to obtain MoO x buffer layers with desired stoichiometry, MoO x thin films were deposited by radio-frequency sputtering under different growth conditions. The chemical phase, composition, microstructure and optical properties of such layers were studied for their possible use in CdTe solar cells. - Highlights: ► MoO x is used as a back contact buffer in CdTe solar cells in substrate configuration. ► Efficiency of 10.0% was achieved without the addition of Cu. ► The back contact buffer in the finished device consists only of MoO 2 . ► Phases and microstructure of MoO x can be controlled by sputtering conditions

  20. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    Directory of Open Access Journals (Sweden)

    Rui Sun

    2016-06-01

    Full Text Available We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100 substrates with a TiN buffer layer. A 50-nm-thick (200-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large IcRN product of 3.8 mV, a sharp quasiparticle current rise with a ΔVg of 0.4 mV, and a small subgap leakage current. The junction quality factor Rsg/RN was about 23 for the junction with a Jc of 47 A/cm2 and was about 6 for the junction with a Jc of 3.0 kA/cm2. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200-orientated TiN buffer layer and had a highly crystalline structure with the (200 orientation.

  1. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Rui [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Makise, Kazumasa; Terai, Hirotaka [Advanced ICT Research Institute, National Institute of Information and Communications Technology (Japan); Zhang, Lu [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); Wang, Zhen, E-mail: zwang@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Shanghai Tech University, Shanghai 201210 (China)

    2016-06-15

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{sup 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.

  2. Effect of film thickness, type of buffer layer, and substrate temperature on the morphology of dicyanovinyl-substituted sexithiophene films

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A., E-mail: alexander.levin@iapp.de [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Levichkova, Marieta [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Heliatek GmbH, 01187 Dresden (Germany); Hildebrandt, Dirk; Klisch, Marina; Weiss, Andre [Heliatek GmbH, 01187 Dresden (Germany); Wynands, David; Elschner, Chris [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Pfeiffer, Martin [Heliatek GmbH, 01187 Dresden (Germany); Leo, Karl; Riede, Moritz [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2012-01-31

    The influence of film thickness, type of buffer underlayer, and deposition substrate temperature on the crystal structure, microstructure, and morphology of the films of dicyanovinyl-substituted sexithiophene with four butyl-chains (DCV6T-Bu{sub 4}) is investigated by means of X-ray diffraction (XRD) and X-ray reflectivity methods. A neat Si wafer or a Si wafer covered by a 15 nm buffer underlayer of fullerene C{sub 60} or 9,9-Bis[4-(N,N-bis-biphenyl-4-yl-amino)phenyl]-9H-fluorene (BPAPF) is used as a substrate. The crystalline nature and ordered molecular arrangement of the films are recorded down to 6 nm film thickness. By using substrates heated up to 90 Degree-Sign C during the film deposition, the size of the DCV6T-Bu{sub 4} crystallites in direction perpendicular to the film surface increases up to value of the film thickness. With increasing deposition substrate temperature or film thickness, the DCV6T-Bu{sub 4} film relaxes, resulting in reducing the interplane distances closer to the bulk values. For the films of the same thickness deposited at the same substrate temperature, the DCV6T-Bu{sub 4} film relaxes for growth on Si to BPAPF to C{sub 60}. Thicker films grown at heated substrates are characterized by smaller density, higher roughness and crystallinity and better molecular ordering. A thin (up to about 6 nm-thick) intermediate layer with linear density-gradient is formed at the C{sub 60}/DCV6T-Bu{sub 4} interface for the films with buffer C{sub 60} layer. The XRD pattern of the DCV6T-Bu{sub 4} powder is indexed using triclinic unit cell parameters.

  3. Investigations into alterntive substrate, absorber, and buffer layer processing for Cu(In,Ga)Se{sub 2}-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Tuttle, J.R.; Berens, T.A.; Keane, J. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    High-performance Cu(In,Ga)Se{sub 2}(CIGS)-based solar cells are presently fabricated within a narrow range of processing options. In this contribution, alternative substrate, absorber, and buffer layer processing is considered. Cell performance varies considerably when alternative substrates are employed. These variations are narrowed with the addition of Na via a Na{sub 2}S compound. Sputtered and electrodeposited CIGS precursors and completed absorbers show promise as alternatives to evaporation. A recrystallization process is required to improve their quality. (In,Ga){sub y}Se buffer layers contribute to cell performance above 10. Further improvements in these alternatives will lead to combined cell performance greater than 10% in the near term.

  4. Epitaxial growth of high purity cubic InN films on MgO substrates using HfN buffer layers by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ohba, R.; Ohta, J.; Shimomoto, K.; Fujii, T.; Okamoto, K.; Aoyama, A.; Nakano, T.; Kobayashi, A.; Fujioka, H.; Oshima, M.

    2009-01-01

    Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been found that the use of HfN (100) buffer layers allows us to grow cubic InN (100) films with an in-plane epitaxial relationship of [001] InN //[001] HfN //[001] MgO . X-ray diffraction and electron back-scattered diffraction measurements have revealed that the phase purity of the cubic InN films was as high as 99%, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD. - Graphical abstract: Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been revealed that the phase purity of the cubic InN films was as high as 99 %, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD.

  5. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  6. Dielectric coatings on metal substrates

    International Nuclear Information System (INIS)

    Glaros, S.S.; Baker, P.; Milam, D.

    1976-01-01

    Large aperture, beryllium substrate-based mirrors have been used to focus high intensity pulsed laser beams. Finished surfaces have high reflectivity, low wavefront distortion, and high laser damage thresholds. This paper describes the development of a series of metallic coatings, surface finishing techniques, and dielectric overcoatings to meet specified performance requirements. Beryllium substrates were coated with copper, diamond-machined to within 5 micro-inches to final contour, nickel plated, and abrasively figured to final contour. Bond strengths for several bonding processes are presented. Dielectric overcoatings were deposited on finished multimetallic substrates to increase both reflectivity and the damage thresholds. Coatings were deposited using both high and low temperature processes which induce varying stresses in the finished coating substrate system. Data are presented to show the evolution of wavefront distortion, reflectivity, and damage thresholds throughout the many steps involved in fabrication

  7. Biaxially oriented CdTe films on glass substrate through nanostructured Ge/CaF2 buffer layers

    Science.gov (United States)

    Lord, R. J.; Su, P.-Y.; Bhat, I.; Zhang, S. B.; Lu, T.-M.; Wang, G.-C.

    2015-09-01

    Heteroepitaxial CdTe films were grown by metal organic chemical vapor deposition on glass substrates through nanostructured Ge/CaF2 buffer layers which were biaxially oriented. It allows us to explore the structural properties of multilayer biaxial semiconductor films which possess small angle grain boundaries and to test the principle of a solar cell made of such low-cost, low-growth-temperature semiconductor films. Through the x-ray diffraction and x-ray pole figure analysis, the heteroepitaxial relationships of the mutilayered films are determined as [111] in the out-of-plane direction and CdTe//Ge//{ }{{{CaF}}2} in the in-plane direction. The I-V curves measured from an ITO/CdS/CdTe/Ge/CaF2/glass solar cell test structure shows a power conversion efficiency of ˜η = 1.26%, illustrating the initial success of such an approach. The observed non-ideal efficiency is believed to be due to a low shunt resistance and high series resistance as well as some residual large-angle grain boundary effects, leaving room for significant further improvement.

  8. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    Science.gov (United States)

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  9. Effect of Ga2O3 buffer layer thickness on the properties of Cu/ITO thin films deposited on flexible substrates

    International Nuclear Information System (INIS)

    Zhuang Huihui; Yan Jinliang; Xu Chengyang; Meng Delan

    2014-01-01

    Cu and Cu/ITO films were prepared on polyethylene terephthalate (PET) substrates with a Ga 2 O 3 buffer layer using radio frequency (RF) and direct current (DC) magnetron sputtering. The effect of Cu layer thickness on the optical and electrical properties of the Cu film deposited on a PET substrate with a Ga 2 O 3 buffer layer was studied, and an appropriate Cu layer thickness of 4.2 nm was obtained. Changes in the optoelectrical properties of Cu(4.2 nm)/ITO(30 nm) films were investigated with respect to the Ga 2 O 3 buffer layer thickness. The optical and electrical properties of the Cu/ITO films were significantly influenced by the thickness of the Ga 2 O 3 buffer layer. A maximum transmission of 86%, sheet resistance of 45 Ω/□ and figure of merit of 3.96 × 10 −3 Ω −1 were achieved for Cu(4.2 nm)/ITO(30 nm) films with a Ga 2 O 3 layer thickness of 15 nm. (semiconductor materials)

  10. Method of depositing an electrically conductive oxide film on a textured metallic substrate and articles formed therefrom

    Science.gov (United States)

    Christen, David K.; He, Qing

    2001-01-01

    The present invention provides a biaxially textured laminate article having a polycrystalline biaxially textured metallic substrate with an electrically conductive oxide layer epitaxially deposited thereon and methods for producing same. In one embodiment a biaxially texture Ni substrate has a layer of LaNiO.sub.3 deposited thereon. An initial layer of electrically conductive oxide buffer is epitaxially deposited using a sputtering technique using a sputtering gas which is an inert or forming gas. A subsequent layer of an electrically conductive oxide layer is then epitaxially deposited onto the initial layer using a sputtering gas comprising oxygen. The present invention will enable the formation of biaxially textured devices which include HTS wires and interconnects, large area or long length ferromagnetic and/or ferroelectric memory devices, large area or long length, flexible light emitting semiconductors, ferroelectric tapes, and electrodes.

  11. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Byunggu; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of)

    2017-01-15

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  12. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    International Nuclear Information System (INIS)

    Kim, Byunggu; Leem, Jae-Young

    2017-01-01

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  13. Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process

    International Nuclear Information System (INIS)

    Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee

    2004-01-01

    We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions

  14. Development of biaxially textured buffer layers on rolled-Ni substrates for high current YBa2Cu3O7-y coated conductors

    International Nuclear Information System (INIS)

    Paranthaman, M.; Goyal, A.; Norton, D.P.

    1996-01-01

    This paper describes the development of 3 buffer layer architectures with good biaxial textures on rolled-Ni substrates using vacuum processing techniques. The techniques include pulsed laser ablation, e-beam evaporation, dc and rf magnetron sputtering. The first buffer layer architecture consists of an epitaxial laminate of Ag/Pd(Pt)/Ni. The second buffer layer consists of an epitaxial laminate of CeO 2 /Pd/Ni. The third alternative buffer layer architecture consists of an epitaxial laminate of YSZ/CeO 2 /Ni. The cube (100) texture in the Ni was produced by cold rolling followed by recrystallization. Crystallographic orientations of the Pd, Ag, CeO 2 , and YSZ films grown were all (100). We recently demonstrated a critical- current density of 0.73x10 6 A/cm 2 at 77 K and zero field on 1.4 μm thick YBa 2 Cu 3 O 7-y (YBCO) film. This film was deposited by pulsed laser ablation on a YBCO/YSZ/CeO 2 /Ni substrate

  15. Development of MoO{sub x} thin films as back contact buffer for CdTe solar cells in substrate configuration

    Energy Technology Data Exchange (ETDEWEB)

    Gretener, C., E-mail: christina.gretener@empa.ch [Laboratory for Thin Films and Photovoltaics, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland); Perrenoud, J.; Kranz, L.; Baechler, C. [Laboratory for Thin Films and Photovoltaics, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland); Yoon, S. [Laboratory for Solid State Chemistry and Catalysis, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland); Romanyuk, Y.E.; Buecheler, S.; Tiwari, A.N. [Laboratory for Thin Films and Photovoltaics, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland)

    2013-05-01

    Molybdenum oxide compounds exhibit unique electrical and optical properties depending on oxygen vacancy concentration and composition and therefore, have recently attracted a lot of attention as a hole transport layer in various devices. In this work CdTe solar cells in substrate configuration were grown with evaporated MoO{sub x} back contact buffer layers and efficiencies of up to 10% could be achieved without using Cu in the back contact processing. The buffer layer – at the CdTe/back contact interface – in the finished cell was found to consist of MoO{sub 2} phase instead of the expected MoO{sub 3} phase as observed in as-deposited or annealed MoO{sub x} layers without CdTe deposition. In order to obtain MoO{sub x} buffer layers with desired stoichiometry, MoO{sub x} thin films were deposited by radio-frequency sputtering under different growth conditions. The chemical phase, composition, microstructure and optical properties of such layers were studied for their possible use in CdTe solar cells. - Highlights: ► MoO{sub x} is used as a back contact buffer in CdTe solar cells in substrate configuration. ► Efficiency of 10.0% was achieved without the addition of Cu. ► The back contact buffer in the finished device consists only of MoO{sub 2}. ► Phases and microstructure of MoO{sub x} can be controlled by sputtering conditions.

  16. Metallic substrates for high temperature superconductors

    Science.gov (United States)

    Truchan, Thomas G.; Miller, Dean J.; Goretta, Kenneth C.; Balachandran, Uthamalingam; Foley, Robert

    2002-01-01

    A biaxially textured face-centered cubic metal article having grain boundaries with misorientation angles greater than about 8.degree. limited to less than about 1%. A laminate article is also disclosed having a metal substrate first rolled to at least about 95% thickness reduction followed by a first annealing at a temperature less than about 375.degree. C. Then a second rolling operation of not greater than about 6% thickness reduction is provided, followed by a second annealing at a temperature greater than about 400.degree. C. A method of forming the metal and laminate articles is also disclosed.

  17. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  18. Physical masking process for integrating micro metallic structures on polymer substrate

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul; Hansen, Hans Nørgaard

    2009-01-01

    plasmon devices need micro metallic structures on a polymer substrate with an uniform metal layer thickness in the nanometer range. A well known fabrication process to achieve such metallic surface pattern on polymer substrate is photolithography which involves an expensive mask and toxic chemicals......Integration of micro metallic structures in polymer devices is a broad multi-disciplinary research field, consisting of various combinations of mechanical, chemical and physical fabrication methods. Each of the methods has its specific advantages and disadvantages. Some applications like surface....... The current study shows a novel approach for fabricating thin micro metallic structures on polymer substrates using a simple physical mask and a PVD equipment. The new process involves fewer process steps, it is cost effective and suitable for high volume industrial production. Current study suggests...

  19. CW substrate-free metal-cavity surface microemitters at 300 K

    International Nuclear Information System (INIS)

    Lu, Chien-Yao; Chang, Shu-Wei; Chuang, Shun Lien; Germann, Tim D; Pohl, Udo W; Bimberg, Dieter

    2011-01-01

    In this paper substrate-free metal-cavity surface microemitters are demonstrated. The optical cavity is formed by a metal reflector, metal-surrounded sidewall and n-doped distributed-Bragg reflector, which provides optical feedback and carrier injection. We describe a simple design principle with the modal properties modified by geometry and metal-insulator cladding. Both resonant cavity light-emitting diodes (1.85 µm diameter and 0.6 µm height) and lasers (2.0 µm diameter and 2.5 µm height) are successfully fabricated and characterized. These two types of devices operate at room temperature under continuous-wave (CW) operation. Since the devices are substrate-free, they can be bonded to any substrates. From the threshold currents of the lasers, we obtain a high characteristic temperature of 425 K in the range of 10–27 °C. We also discuss a general approach to improve the diffraction from small-aperture devices

  20. Interaction of metallic nanoparticles with dielectric substrates: effect of optical constants

    International Nuclear Information System (INIS)

    Hutter, Tanya; Elliott, Stephen R; Mahajan, Sumeet

    2013-01-01

    In this paper, we study the local-field enhancement in a system of a metallic nanoparticle placed very near to a dielectric substrate. In such systems, intense electric fields are localized in the gap between the particle and the substrate, creating a ‘hot-spot’ under appropriate excitation conditions. We use finite-element numerical simulations in order to study the field enhancement in this dielectric–metal system. More specifically, we show how the optical properties of the dielectric substrate (n and k) affect the plasmonic field enhancement in the nano-gap. We also analyze the degree of field confinement in the gap and discuss it in the context of utilization for surface-enhanced Raman scattering. We finally show the fields generated by real substrates and compare them to metallic ones. (paper)

  1. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  2. Transition metal oxide as anode interface buffer for impedance spectroscopy

    Science.gov (United States)

    Xu, Hui; Tang, Chao; Wang, Xu-Liang; Zhai, Wen-Juan; Liu, Rui-Lan; Rong, Zhou; Pang, Zong-Qiang; Jiang, Bing; Fan, Qu-Li; Huang, Wei

    2015-12-01

    Impedance spectroscopy is a strong method in electric measurement, which also shows powerful function in research of carrier dynamics in organic semiconductors when suitable mathematical physical models are used. Apart from this, another requirement is that the contact interface between the electrode and materials should at least be quasi-ohmic contact. So in this report, three different transitional metal oxides, V2O5, MoO3 and WO3 were used as hole injection buffer for interface of ITO/NPB. Through the impedance spectroscopy and PSO algorithm, the carrier mobilities and I-V characteristics of the NPB in different devices were measured. Then the data curves were compared with the single layer device without the interface layer in order to investigate the influence of transitional metal oxides on the carrier mobility. The careful research showed that when the work function (WF) of the buffer material was just between the work function of anode and the HOMO of the organic material, such interface material could work as a good bridge for carrier injection. Under such condition, the carrier mobility measured through impedance spectroscopy should be close to the intrinsic value. Considering that the HOMO (or LUMO) of most organic semiconductors did not match with the work function of the electrode, this report also provides a method for wide application of impedance spectroscopy to the research of carrier dynamics.

  3. Autoradiographic techniques to determine noble metal distribution in automotive catalyst substrates

    International Nuclear Information System (INIS)

    Lange, W.H.

    1976-01-01

    The distribution of noble metals in the ceramic substrates of automotive catalytic converter systems is important to the functional characteristics of the systems. A radiotracer technique involving microtomy of bead substrate samples and autoradiography using the resultant thin sections was developed to produce detailed images of the metal distributions. The method is particularly valuable to determine the distribution of one metal in the presence of another to aid in the development of more efficient systems

  4. Long Spin-Relaxation Times in a Transition-Metal Atom in Direct Contact to a Metal Substrate.

    Science.gov (United States)

    Hermenau, Jan; Ternes, Markus; Steinbrecher, Manuel; Wiesendanger, Roland; Wiebe, Jens

    2018-03-14

    Long spin-relaxation times are a prerequisite for the use of spins in data storage or nanospintronics technologies. An atomic-scale solid-state realization of such a system is the spin of a transition-metal atom adsorbed on a suitable substrate. For the case of a metallic substrate, which enables the direct addressing of the spin by conduction electrons, the experimentally measured lifetimes reported to date are on the order of only hundreds of femtoseconds. Here, we show that the spin states of iron atoms adsorbed directly on a conductive platinum substrate have a surprisingly long spin-relaxation time in the nanosecond regime, which is comparable to that of a transition metal atom decoupled from the substrate electrons by a thin decoupling layer. The combination of long spin-relaxation times and strong coupling to conduction electrons implies the possibility to use flexible coupling schemes to process the spin information.

  5. Site-selective metallization of polymeric substrates by the hyperbranched polymer templates

    International Nuclear Information System (INIS)

    Li, Peiyuan; Yang, Fang; Li, Xiangcheng; He, Chunling; Su, Wei; Chen, Jinhao; Huo, Lini; Chen, Rui; Lu, Chensheng; Liang, Lifang

    2013-01-01

    We demonstrate a simple, cost-effective and universal technique for the fabrication of copper circuit pattern on flexible polymeric substrate. This method relies on a ternary polyethylenimine-poly(acrylic acid)-substrate film incorporating palladium catalysts, which are used as adhesive interlayers for the copper metallization of flexible polymeric substrates. We demonstrated the fabrication of patterned copper films on a variety of flexible polymers with minimum feature sizes of 200 μm. And the resulting copper circuit showed strong adhesion with underlying flexible polymeric substrates. The films were characterized by ATR FT-IR, contact angle, XPS, XRD, TEM and SEM. The direct patterning of metallic circuit on flexible polymeric substrate indicates great potential for the use in electronics industry.

  6. Site-selective metallization of polymeric substrates by the hyperbranched polymer templates

    Energy Technology Data Exchange (ETDEWEB)

    Li, Peiyuan, E-mail: lipearpear@163.com [College of Pharmacy, Guangxi University of Chinese Medicine, Nanning 530001 (China); Yang, Fang [College of Chemistry and Life Science, Guangxi Teachers Education University, Nanning 530001 (China); Li, Xiangcheng [School of Computer, Electronics and Information, Guangxi University, Nanning 530001 (China); He, Chunling [College of Pharmacy, Guangxi University of Chinese Medicine, Nanning 530001 (China); Su, Wei, E-mail: suwmail@163.com [College of Chemistry and Life Science, Guangxi Teachers Education University, Nanning 530001 (China); Chen, Jinhao [College of Chemistry and Life Science, Guangxi Teachers Education University, Nanning 530001 (China); Huo, Lini; Chen, Rui; Lu, Chensheng [College of Pharmacy, Guangxi University of Chinese Medicine, Nanning 530001 (China); Liang, Lifang [College of Chemistry and Life Science, Guangxi Teachers Education University, Nanning 530001 (China)

    2013-09-01

    We demonstrate a simple, cost-effective and universal technique for the fabrication of copper circuit pattern on flexible polymeric substrate. This method relies on a ternary polyethylenimine-poly(acrylic acid)-substrate film incorporating palladium catalysts, which are used as adhesive interlayers for the copper metallization of flexible polymeric substrates. We demonstrated the fabrication of patterned copper films on a variety of flexible polymers with minimum feature sizes of 200 μm. And the resulting copper circuit showed strong adhesion with underlying flexible polymeric substrates. The films were characterized by ATR FT-IR, contact angle, XPS, XRD, TEM and SEM. The direct patterning of metallic circuit on flexible polymeric substrate indicates great potential for the use in electronics industry.

  7. Fully solution-processed organic solar cells on metal foil substrates

    KAUST Repository

    Gaynor, Whitney; Lee, Jung-Yong; Peumans, Peter

    2009-01-01

    We demonstrate fully solution-processed organic photovoltaic cells on metal foil substrates with power conversion efficiencies similar to those obtained in devices on transparent substrates. The cells are based on the regioregular poly- (3

  8. Direct metal transfer printing on flexible substrate for fabricating optics functional devices

    Science.gov (United States)

    Jiang, Yingjie; Zhou, Xiaohong; Zhang, Feng; Shi, Zhenwu; Chen, Linsen; Peng, Changsi

    2015-11-01

    New functional materials and devices based on metal patterns can be widely used in many new and expanding industries,such as flat panel displays, alternative energy,sensors and so on. In this paper, we introduce a new transfer printing method for fabricating metal optics functional devices. This method can directly transfer a metal pattern from a polyethylene terephthalate (PET)supported UV or polydimethylsiloxane (PDMS) pattern to another PET substrate. Purely taking advantage of the anaerobic UV curing adhesive (a-UV) on PET substrate, metal film can be easily peeled off from micro/nano-structured surface. As a result, metal film on the protrusion can be selectively transferred onto the target substrate, to make it the metal functional surface. But which on the bottom can not be transferred. This method provides low cost fabrication of metal thin film devices by avoiding high cost lithography process. Compared with conventional approach, this method can get more smooth rough edges and has wider tolerance range for the original master mold. Future developments and potential applications of this metal transfer method will be addressed.

  9. Effects of the annealing duration of the ZnO buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, C.M.; Lee, J.Y.; Heo, J.H.; Park, J.H.; Kim, C.R. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-07-30

    In this study, the effects of the annealing duration of a zinc oxide (ZnO) buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process are discussed. A ZnO buffer layer was deposited on p-type Si (1 1 1) substrates by the metal organic chemical vapor deposition (MOCVD) method. After that, ZnO rods were grown on the ZnO-buffer/Si (1 1 1) substrate by a hydrothermal process. In order to determine the optimum annealing duration of the buffer layer for the growth of ZnO rods, durations ranging from 0.5 to 30 min were tried. The morphology and crystal structure of the ZnO/ZnO-buffer/Si (1 1 1) were measured by field emission scanning electron microscopy (FE-SEM) and x-ray diffraction (XRD). The optical properties were investigated by photoluminescence (PL) measurement.

  10. Long length coated conductor fabrication by inclined substrate deposition and evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Prusseit, W [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Hoffmann, C [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Nemetschek, R [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Sigl, G [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Handke, J [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Luemkemann, A [Technical University Munich, James- Franck-Str. 1, 85748 Garching (Germany); Kinder, H [Technical University Munich, James- Franck-Str. 1, 85748 Garching (Germany)

    2006-06-01

    The commercial development of coated conductors is rapidly progressing. As a result we present an economic route to produce second generation HTS tape from the initial substrate preparation to the final metal coating. The most important and technically challenging steps are the deposition of an oriented buffer layer and the superconductor film in a reel-to-reel configuration. New evaporation techniques have been developed to enable reliable, high rate tape coating. Highly oriented MgO - buffer layers are realized by inclined substrate deposition (ISD) and DyBCO is deposited by simple e-gun evaporation yielding critical currents beyond 200 A/cm. Coated conductors have been fabricated up to 40 m length and are currently tested in a variety of applications.

  11. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  12. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  13. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  14. Characterization of PZT thin films on metal substrates

    International Nuclear Information System (INIS)

    Dutschke, A.

    2008-01-01

    strong stresses within the films. The magnitude of the lattice distortion is independent of the crystallite size and its extent is generally smaller for crystallites in Nd-doped films than for such in undoped films. After the detailed analysis of the development of the boundary layer between metal substrate and PZT-film, it is identified as a non-ferroelectric, dielectric buffer-layer containing crystalline NiO und NiCr 2 O 4 , different chromium oxides and Pb 2 (CrO 4 )O between the PZT film and the conductive substrate significantly diminishing the resulting dielectric properties of the system. By applying a non-stoichiometric La 0,75 Sr 0,2 MnO 3 (ULSM)-electrode below the PZT-film, a better electrical contact is achieved, the (001)-orientation in undoped films is enhanced and narrow P-E-hysteresis loops can be obtained. (orig.)

  15. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  17. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  18. Removing paint from a metal substrate using a flattened top laser

    International Nuclear Information System (INIS)

    Shi Shu-Dong; Li Wei; Du Peng; Wang Meng; Song Feng; Liu Shu-Jing; Chen Nian-Jiang; Zhao Hong; Yang Wen-Shi

    2012-01-01

    In this paper, we investigate laser cleaning using a flattened top laser to remove paint coating from a metal substrate. Under the irradiation of a flattened top laser, the coating paint of the metal substrate can be removed efficiently by laser induced ablation, stress, and displacement force. The temperature distribution, stress, and displacement are calculated in the coating layer and substrate using finite element analysis. The effects of a Gaussian laser and a flattened top laser and the results of different diameters of laser spot are compared. The investigation shows that the flattened top laser can reduce the substrate damage and enhance the cleaning efficiency. This method meets the need of large area industrial cleaning applications by optimizing the flattened top laser parameters

  19. Removing paint from a metal substrate using a flattened top laser

    Science.gov (United States)

    Shi, Shu-Dong; Li, Wei; Du, Peng; Wang, Meng; Song, Feng; Liu, Shu-Jing; Chen, Nian-Jiang; Zhao, Hong; Yang, Wen-Shi

    2012-10-01

    In this paper, we investigate laser cleaning using a flattened top laser to remove paint coating from a metal substrate. Under the irradiation of a flattened top laser, the coating paint of the metal substrate can be removed efficiently by laser induced ablation, stress, and displacement force. The temperature distribution, stress, and displacement are calculated in the coating layer and substrate using finite element analysis. The effects of a Gaussian laser and a flattened top laser and the results of different diameters of laser spot are compared. The investigation shows that the flattened top laser can reduce the substrate damage and enhance the cleaning efficiency. This method meets the need of large area industrial cleaning applications by optimizing the flattened top laser parameters.

  20. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  1. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  2. Enhanced Stability of Li Metal Anode by using a 3D Porous Nickel Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Lu; Canfield, Nathan L.; Chen, Shuru; Lee, Hongkyung; Ren, Xiaodi; Engelhard, Mark H.; Li, Qiuyan; Liu, Jun; Xu, Wu; Zhang, Jiguang

    2018-03-02

    Lithium (Li) metal is considered the “holy grail” anode for high energy density batteries, but its applications in rechargeable Li metal batteries are still hindered by the formation of Li dendrites and low Coulombic efficiency for Li plating/stripping. An effective strategy to stabilize Li metal is by embedding Li metal anode in a three-dimensional (3D) current collector. Here, a highly porous 3D Ni substrate is reported to effectively stabilize Li metal anode. Using galvanostatic intermittent titration technique combined with scanning electron microscopy, the underlying mechanism on the improved stability of Li metal anode is revealed. It is clearly demonstrated that the use of porous 3D Ni substrate can effectively suppress the formation of “dead” Li and forms a dense surface layer, whereas a porous “dead” Li layer is accumulated on the 2D Li metal which eventually leads to mass transport limitations. X-ray photoelectron spectroscopy results further revealed the compositional differences in the solid-electrolyte interphase layer formed on the Li metal embedded in porous 3D Ni substrate and the 2D copper substrate.

  3. Synthesis and microstructural characterization of growth direction controlled ZnO nanorods using a buffer layer

    International Nuclear Information System (INIS)

    Park, Dong Jun; Kim, Dong Chan; Lee, Jeong Yong; Cho, Hyung Koun

    2006-01-01

    The growth direction and morphology of one-dimensional ZnO nanostructures grown by metal-organic chemical vapour deposition (MOCVD) were modulated by changing the growth temperature of previously deposited ZnO buffer layers that were used as a template. The ZnO nanorods grown on the low-temperature deposited buffer layer were regularly inclined with respect to the substrate surface and show in-plane alignment with azimuthally six-fold symmetry. In contrast, deposition of the buffer layer at higher growth temperature led to the formation of vertically well-aligned ZnO nanorods. In addition, the ZnO nanorods grown on the buffer layer deposited at low growth temperature show a growth direction of [1 0 1-bar 0], unlike the conventional ZnO nanorods showing a growth direction of [0001]. The microstructural analysis and atomic modelling of the formation of regularly inclined nanorods using transmission electron microscopy are presented

  4. Low temperature thermocompression bonding between aligned carbon nanotubes and metallized substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, M X; Gan, Z Y; Liu, S [School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Song, X H, E-mail: chimish@163.com [Division of MOEMS, Wuhan National Lab for Optoelectronics, Wuhan 430074 (China)

    2011-08-26

    Vertically aligned carbon nanotube (VACNT) turf is proposed for use as an electrical and thermal contact material. For these applications, one route for circumventing the high temperatures required for VACNT growth using chemical vapor deposition (CVD) is used to grow firstly VACNTs on one substrate and then transfer them to other substrates. In this work, a nano thermocompression bonding technique between VACNTs and a metallized substrate is developed to allow dry mechanical transfer of the VACNTs. Unlike the diffusion bonding between two bulk materials, nano metal clusters have a high surface energy and the atoms are very active to form alloy with the contacted bulk metal material even at much lower temperatures, so nano thermocompression bonding can decrease the bonding temperature (150 deg. C) and pressure (1 MPa) and greatly shorten the bonding time from hours to 20 min. A debonding experiment shows that the bonding strength between VACNTs and the metallized layer is so high that a break is less likely to occur at the bonding interface.

  5. Direct in Situ Conversion of Metals into Metal-Organic Frameworks: A Strategy for the Rapid Growth of MOF Films on Metal Substrates.

    Science.gov (United States)

    Ji, Hoon; Hwang, Sunhyun; Kim, Keonmok; Kim, CheolGi; Jeong, Nak Cheon

    2016-11-30

    The fabrication of metal-organic framework (MOF) films on conducting substrates has demonstrated great potential in applications such as electronic conduction and sensing. For these applications, direct contact of the film to the conducting substrate without a self-assembled monolayer (SAM) is a desired step that must be achieved prior to the use of MOF films. In this report, we propose an in situ strategy for the rapid one-step conversion of Cu metal into HKUST-1 films on conducting Cu substrates. The Cu substrate acts both as a conducting substrate and a source of Cu 2+ ions during the synthesis of HKUST-1. This synthesis is possible because of the simultaneous reaction of an oxidizing agent and a deprotonating agent, in which the former agent dissolves the metal substrate to form Cu 2+ ions while the latter agent deprotonates the ligand. Using this strategy, the HKUST-1 film could not only be rapidly synthesized within 5 min but also be directly attached to the Cu substrate. Based on microscopic studies, we propose a plausible mechanism for the growth reaction. Furthermore, we show the versatility of this in situ conversion methodology, applying it to ZIF-8, which comprises Zn 2+ ions and imidazole-based ligands. Using an I 2 -filled HKUST-1 film, we further demonstrate that the direct contact of the MOF film to the conducting substrate makes the material more suitable for use as a sensor or electronic conductor.

  6. Topography evolution of rough-surface metallic substrates by solution deposition planarization method

    Science.gov (United States)

    Chu, Jingyuan; Zhao, Yue; Liu, Linfei; Wu, Wei; Zhang, Zhiwei; Hong, Zhiyong; Li, Yijie; Jin, Zhijian

    2018-01-01

    As an emerging technique for surface smoothing, solution deposition planarization (SDP) has recently drawn more attention on the fabrication of the second generation high temperature superconducting (2G-HTS) tapes. In our work, a number of amorphous oxide layers were deposited on electro-polished or mirror-rolled metallic substrates by chemical solution route. Topography evolution of surface defects on these two types of metallic substrates was thoroughly investigated by atomic force microscopy (AFM). It was showed that root mean square roughness values (at 50 × 50 μm2 scanning scale) on both rough substrates reduced to ∼5 nm after coating with SDP-layer. The smoothing effect was mainly attributed to decrease of the depth at grain boundary grooving on the electro-polished metallic substrate. On the mirror-rolled metallic substrates, the amplitude and frequency of the height fluctuation perpendicular to the rolling direction were gradually reduced as depositing more numbers of SDP-layer. A high Jc value of 4.17 MA cm-2 (at 77 K, s.f.) was achieved on a full stack of YBCO/CeO2/IBAD-MgO/SDP-layer/C276 sample. This study enhanced understanding of the topography evolution on the surface defects covered by the SDP-layer, and demonstrated a low-cost route for fabricating IBAD-MgO based YBCO templates with a simplified architecture.

  7. Effects of heavy metals on enzyme synthesis in substrate-amended river sediments

    Energy Technology Data Exchange (ETDEWEB)

    Wainwright, M; Duddridge, J E

    1982-01-01

    The effects of heavy metals in diverse substrate-amended river sediments were studied. Cd/sup 2 +/, Pb/sup 2 +/ and Zn/sup 2 +/ generally had a marked inhibitory effect on the synthesis of amylase, cellulase and urease, on numbers of substrate-hydrolysing bacteria, in all sediments studied. Inhibition increased with increasing metal concentration, and amylase was particularly sensitive. Pb/sup 2 +/ generally had the least effect. We conclude that enzyme synthesis measurements are useful in determining the effects of heavy metals on the degradation of organic pollutants in river sediments.

  8. Labview virtual instruments for calcium buffer calculations.

    Science.gov (United States)

    Reitz, Frederick B; Pollack, Gerald H

    2003-01-01

    Labview VIs based upon the calculator programs of Fabiato and Fabiato (J. Physiol. Paris 75 (1979) 463) are presented. The VIs comprise the necessary computations for the accurate preparation of multiple-metal buffers, for the back-calculation of buffer composition given known free metal concentrations and stability constants used, for the determination of free concentrations from a given buffer composition, and for the determination of apparent stability constants from absolute constants. As implemented, the VIs can concurrently account for up to three divalent metals, two monovalent metals and four ligands thereof, and the modular design of the VIs facilitates further extension of their capacity. As Labview VIs are inherently graphical, these VIs may serve as useful templates for those wishing to adapt this software to other platforms.

  9. Synthesis of organolanthanides by metal addition on insaturated substrates in ether and reactivity

    International Nuclear Information System (INIS)

    Olivier, H.

    1988-01-01

    The aim of the study is the extension to rare earths of the synthesis, well known for alkaline or alkaline earth metals, by direct metal addition to insaturated substrates in ether and where the metal is directly bound to carbon. A definition of formation conditions and affinity rules is attempled, both with substrates (essentially aromatic hydrocarbons and ketones) and with metals: Yb, Sm, Ce, Nd and others. The nature of obtained products by reaction of electrophiles on synthetised organometallics, allows investigations specific reactivity and structure. Potential catalytic transformation of olefins is precised [fr

  10. PZT Films Fabricated by Metal Organic Decomposition Method

    Science.gov (United States)

    Sobolev, Vladimir; Ishchuk, Valeriy

    2014-03-01

    High quality lead zirconate titanate films have been fabricated on different substrates by metal organic decomposition method and their ferroelectric properties have been investigated. Main attention was paid to studies of the influence of the buffer layer with conditional composition Pb1.3(Zr0.5Ti0.5) O3 on the properties of Pb(Zr0.5Ti0.5) O3 films fabricated on the polycrystalline titanium and platinum substrates. It is found that in the films on the Pt substrate (with or without the buffer layer) the dependencies of the remanent polarization and the coercivity field on the number of switching cycles do not manifest fatigue up to 109 cycles. The remanent polarization dependencies for films on the Ti substrate with the buffer layer containing an excess of PbO demonstrate an fundamentally new feature that consists of a remanent polarization increase after 108 switching cycles. The increase of remanent polarization is about 50% when the number of cycles approaches 1010, while the increase of the coercivity field is small. A monotonic increase of dielectric losses has been observed in all cases.

  11. Methods for improved growth of group III nitride buffer layers

    Science.gov (United States)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    2014-07-15

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphology of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).

  12. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  13. Dynamics of a metal overlayer on metallic substrates: High temperature effects

    International Nuclear Information System (INIS)

    Rahman, T.S.; Black, J.E.; Tian, Zeng Ju

    1992-01-01

    We have explored the structure and the dynamics of a bimetallic system consisting of a hexagonal (almost) overlayer of Ag on a square lattice (Ni(100) and Cu(100)), as a function of the surface temperature. In each case the structure is ''nearly'' incommensurate giving rise to a low frequency Goldstone mode. Also, the overlayer atoms slosh back and forth over the substrate in a corrugated fashion. The calculated dispersion of the Ag/metal vertical mode, at room temperature, is in excellent agreement with experimental data. At higher temperatures floater atoms appear on top of the overlayer displaying a variety of cluster formations and also exchanges with the substrate atoms leading to surface disordering, interdiffusion and melting

  14. Cube Texture Formation of Cu-33at.%Ni Alloy Substrates and CeO2 Buffer Layer for YBCO Coated Conductors

    DEFF Research Database (Denmark)

    Tian, Hui; Li, Suo Hong; Ru, Liang Ya

    2014-01-01

    Cube texture formation of Cu-33 at.%Ni alloy substartes and CeO2 buffer layer prepared by chemical solution deposition on the textured substrate were investigated by electron back scattered diffraction (EBSD) and XRD technics systematically. The results shown that a strong cube textured Cu-33at...

  15. A Stable Metal-Organic Framework Featuring a Local Buffer Environment for Carbon Dioxide Fixation.

    Science.gov (United States)

    He, Hongming; Sun, Qi; Gao, Wenyang; Perman, Jason A; Sun, Fuxing; Zhu, Guangshan; Aguila, Briana; Forrest, Katherine; Space, Brian; Ma, Shengqian

    2018-04-16

    A majority of metal-organic frameworks (MOFs) fail to preserve their physical and chemical properties after exposure to acidic, neutral, or alkaline aqueous solutions, therefore limiting their practical applications in many areas. The strategy demonstrated herein is the design and synthesis of an organic ligand that behaves as a buffer to drastically boost the aqueous stability of a porous MOF (JUC-1000), which maintains its structural integrity at low and high pH values. The local buffer environment resulting from the weak acid-base pairs of the custom-designed organic ligand also greatly facilitates the performance of JUC-1000 in the chemical fixation of carbon dioxide under ambient conditions, outperforming a series of benchmark catalysts. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Selective-area growth and controlled substrate coupling of transition metal dichalcogenides

    Science.gov (United States)

    Bersch, Brian M.; Eichfeld, Sarah M.; Lin, Yu-Chuan; Zhang, Kehao; Bhimanapati, Ganesh R.; Piasecki, Aleksander F.; Labella, Michael, III; Robinson, Joshua A.

    2017-06-01

    Developing a means for true bottom-up, selective-area growth of two-dimensional (2D) materials on device-ready substrates will enable synthesis in regions only where they are needed. Here, we demonstrate seed-free, site-specific nucleation of transition metal dichalcogenides (TMDs) with precise control over lateral growth by utilizing an ultra-thin polymeric surface functionalization capable of precluding nucleation and growth. This polymer functional layer (PFL) is derived from conventional photoresists and lithographic processing, and is compatible with multiple growth techniques, precursors (metal organics, solid-source) and TMDs. Additionally, we demonstrate that the substrate can play a major role in TMD transport properties. With proper TMD/substrate decoupling, top-gated field-effect transistors (FETs) fabricated with selectively-grown monolayer MoS2 channels are competitive with current reported MoS2 FETs. The work presented here demonstrates that substrate surface engineering is key to realizing precisely located and geometrically-defined 2D layers via unseeded chemical vapor deposition techniques.

  17. Evaluation of methods for application of epitaxial layers of superconductor and buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-06-01

    The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, based on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process, an

  18. Buffer layers for coated conductors

    Science.gov (United States)

    Stan, Liliana [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Foltyn, Stephen R [Los Alamos, NM

    2011-08-23

    A composite structure is provided including a base substrate, an IBAD oriented material upon the base substrate, and a cubic metal oxide material selected from the group consisting of rare earth zirconates and rare earth hafnates upon the IBAD oriented material. Additionally, an article is provided including a base substrate, an IBAD oriented material upon the base substrate, a cubic metal oxide material selected from the group consisting of rare earth zirconates and rare earth hafnates upon the IBAD oriented material, and a thick film upon the cubic metal oxide material. Finally, a superconducting article is provided including a base substrate, an IBAD oriented material upon the base substrate, a cubic metal oxide material selected from the group consisting of rare earth zirconates and rare earth hafnates upon the IBAD oriented material, and an yttrium barium copper oxide material upon the cubic metal oxide material.

  19. In-plane aligned YBCO tape on textured YSZ buffer layer deposited on stainless steel substrate by laser ablation only with O+ ion beam assistance

    International Nuclear Information System (INIS)

    Huang Xintang; Huazhong Normal Univ., Wuhan, HB; Wang Youqing; Wang Qiuliang; Chen Qingming

    1999-01-01

    In this paper we have prepared YSZ buffer layers on stainless steel substrates by laser ablation only with O + ion beam assistance and YBCO films on YSZ/steel consequently. The relevant parameters of YSZ and YBCO film deposition are indicated. (orig.)

  20. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  1. Effects of the Buffer Layers on the Adhesion and Antimicrobial Properties of the Amorphous ZrAlNiCuSi Films

    Science.gov (United States)

    Chiang, Pai-Tsung; Chen, Guo-Ju; Jian, Sheng-Rui; Shih, Yung-Hui

    2011-06-01

    To extend the practical applications of the bulk metallic glasses (BMGs), the preparation of the metallic glass coatings on various substrates becomes an important research issue. Among the interfacial properties of the coatings, the adhesion between films and substrates is the most crucial. In this study, amorphous Zr61Al7.5Ni10Cu17.5Si4 (ZrAlNiCuSi) thin films were deposited on SUS304 stainless steel at various sputtering powers by DC sputtering. According to the scratch tests, the introduction of the Cr and Ti buffer layers effectively improves the adhesion between the amorphous thin films and substrate without changing the surface properties, such as roughness and morphology. The antimicrobial results show that the biological activities of these microbes, except Acinetobacter baumannii, are effectively suppressed during the test period.

  2. Cube-textured metal substrates for reel-to-reel processing of coated conductors

    DEFF Research Database (Denmark)

    Wulff, Anders Christian

    This thesis presents the results of a study aimed at investigating important fabrication aspects of reel-to-reel processing of metal substrates for coated conductors and identifying a new substrate candidate material with improved magnetic properties. The eect of mechanical polishing on surface...... texture and the fraction of low angle grain boundaries. Finally, a Ni-5Cu-5W substrate may be a good candidate material as a substrate in future coated conductors....

  3. Growth of InAs Quantum Dots on Germanium Substrate Using Metal Organic Chemical Vapor Deposition Technique

    Directory of Open Access Journals (Sweden)

    Tyagi Renu

    2009-01-01

    Full Text Available Abstract Self-assembled InAs quantum dots (QDs were grown on germanium substrates by metal organic chemical vapor deposition technique. Effects of growth temperature and InAs coverage on the size, density, and height of quantum dots were investigated. Growth temperature was varied from 400 to 450 °C and InAs coverage was varied between 1.40 and 2.35 monolayers (MLs. The surface morphology and structural characteristics of the quantum dots analyzed by atomic force microscope revealed that the density of the InAs quantum dots first increased and then decreased with the amount of InAs coverage; whereas density decreased with increase in growth temperature. It was observed that the size and height of InAs quantum dots increased with increase in both temperature and InAs coverage. The density of QDs was effectively controlled by growth temperature and InAs coverage on GaAs buffer layer.

  4. Reprogrammable read only variable threshold transistor memory with isolated addressing buffer

    Science.gov (United States)

    Lodi, Robert J.

    1976-01-01

    A monolithic integrated circuit, fully decoded memory comprises a rectangular array of variable threshold field effect transistors organized into a plurality of multi-bit words. Binary address inputs to the memory are decoded by a field effect transistor decoder into a plurality of word selection lines each of which activates an address buffer circuit. Each address buffer circuit, in turn, drives a word line of the memory array. In accordance with the word line selected by the decoder the activated buffer circuit directs reading or writing voltages to the transistors comprising the memory words. All of the buffer circuits additionally are connected to a common terminal for clearing all of the memory transistors to a predetermined state by the application to the common terminal of a large magnitude voltage of a predetermined polarity. The address decoder, the buffer and the memory array, as well as control and input/output control and buffer field effect transistor circuits, are fabricated on a common substrate with means provided to isolate the substrate of the address buffer transistors from the remainder of the substrate so that the bulk clearing function of simultaneously placing all of the memory transistors into a predetermined state can be performed.

  5. Preparation of epitaxial YBa2Cu3O7-y films on CeO2-buffered yttria-stabilized zirconia substrates by fluorine-free metalorganic deposition

    International Nuclear Information System (INIS)

    Tsukada, Kenichi; Yamaguchi, Iwao; Sohma, Mitsugu; Kondo, Wakichi; Kamiya, Kunio; Kumagai, Toshiya; Manabe, Takaaki

    2007-01-01

    Epitaxial YBa 2 Cu 3 O 7-y (YBCO) films of 120-550 nm thickness have been prepared by fluorine-free metalorganic deposition using a metal acetylacetonate-based coating solution on yttria-stabilized zirconia (YSZ) substrates with an evaporated CeO 2 buffer layer. The YBCO films were highly (0 0 1)-oriented by X-ray diffraction θ-2θ scanning and φ scanning. The YBCO films 120-400 nm in thickness demonstrated high critical current densities (J c ) with an average in excess of 3 MA/cm 2 at 77 K using an inductive method. In particular, a 210-nm-thick film showed a J c of 4.5 MA/cm 2 . These excellent properties are attributed to the high crystallinity, small in-plane fluctuation due to high epitaxy and to the microstructure free from grain boundaries in the YBCO films. Further increase of film thickness increased the fraction of irregularities, i.e., precipitates and micropores, in the film surfaces, resulting in lower J c values

  6. Development of a four-zone carousel process packed with metal ion-imprinted polymer for continuous separation of copper ions from manganese ions, cobalt ions, and the constituent metal ions of the buffer solution used as eluent.

    Science.gov (United States)

    Jo, Se-Hee; Park, Chanhun; Yi, Sung Chul; Kim, Dukjoon; Mun, Sungyong

    2011-08-19

    A three-zone carousel process, in which Cu(II)-imprinted polymer (Cu-MIP) and a buffer solution were employed as adsorbent and eluent respectively, has been developed previously for continuous separation of Cu²⁺ (product) from Mn²⁺ and Co²⁺ (impurities). Although this process was reported to be successful in the aforementioned separation task, the way of using a buffer solution as eluent made it inevitable that the product stream included the buffer-related metal ions (i.e., the constituent metal ions of the buffer solution) as well as copper ions. For a more perfect recovery of copper ions, it would be necessary to improve the previous carousel process such that it can remove the buffer-related metal ions from copper ions while maintaining the previous function of separating copper ions from the other 2 impure heavy-metal ions. This improvement was made in this study by proposing a four-zone carousel process based on the following strategy: (1) the addition of one more zone for performing the two-step re-equilibration tasks and (2) the use of water as the eluent of the washing step in the separation zone. The operating conditions of such a proposed process were determined on the basis of the data from a series of single-column experiments. Under the determined operating conditions, 3 runs of carousel experiments were carried out. The results of these experiments revealed that the feed-loading time was a key parameter affecting the performance of the proposed process. Consequently, the continuous separation of copper ions from both the impure heavy-metal ions and the buffer-related metal ions could be achieved with a purity of 91.9% and a yield of 92.8% by using the proposed carousel process based on a properly chosen feed-loading time. Copyright © 2011 Elsevier B.V. All rights reserved.

  7. Cold Gas-Sprayed Deposition of Metallic Coatings onto Ceramic Substrates Using Laser Surface Texturing Pre-treatment

    Science.gov (United States)

    Kromer, R.; Danlos, Y.; Costil, S.

    2018-04-01

    Cold spraying enables a variety of metals dense coatings onto metal surfaces. Supersonic gas jet accelerates particles which undergo with the substrate plastic deformation. Different bonding mechanisms can be created depending on the materials. The particle-substrate contact time, contact temperature and contact area upon impact are the parameters influencing physicochemical and mechanical bonds. The resultant bonding arose from plastic deformation of the particle and substrate and temperature increasing at the interface. The objective was to create specific topography to enable metallic particle adhesion onto ceramic substrates. Ceramic did not demonstrate deformation during the impact which minimized the intimate bonds. Laser surface texturing was hence used as prior surface treatment to create specific topography and to enable mechanical anchoring. Particle compressive states were necessary to build up coating. The coating deposition efficiency and adhesion strength were evaluated. Textured surface is required to obtain strong adhesion of metallic coatings onto ceramic substrates. Consequently, cold spray coating parameters depend on the target material and a methodology was established with particle parameters (diameters, velocities, temperatures) and particle/substrate properties to adapt the surface topography. Laser surface texturing is a promising tool to increase the cold spraying applications.

  8. Micro-scale metallization on flexible polyimide substrate by Cu electroplating using SU-8 photoresist mask

    International Nuclear Information System (INIS)

    Cho, S.H.; Kim, S.H.; Lee, N.-E.; Kim, H.M.; Nam, Y.W.

    2005-01-01

    Technologies for flexible electronics have been developed to make electronic or microelectromechanical (MEMS) devices on inexpensive and flexible organic substrates. In order to fabricate the interconnect lines between device elements or layers in flexible electronic devices, metallization on the flexible substrate is essential. In this case, the width and conductivity of metallization line are very important for minimizing the size of device. Therefore, the realization of metallization process with the scale of a few micrometers on the flexible substrate is required. In this work, micro-scale metallization lines of Cu were fabricated on the flexible substrate by electroplating using the patterned mask of a negative-tone SU-8 photoresist. Polyimide surface was treated by O 2 /Ar atmospheric plasma for the improvement in adhesion between Cr layer and polyimide and in situ sputter deposition of 100-nm-thick Cu seed layers on the sputter-deposited 50-nm-thick Cr adhesion layer was followed. SU-8 photoresist was spin-coated and patterned by photolithography. Electroplating of Cu line, removal of SU-8, and selective wet etch of Cr adhesion and Cu seed layers were carried out. Gap between the Cu lines was successfully filled by spin-coating of polyimide. Micro-scale Cu metal lines with gap filling on the polyimide substrate with a thickness of 6-12 μm and an aspect ratio of 1-3 were successfully fabricated

  9. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  10. Calcium and Zinc Containing Bactericidal Glass Coatings for Biomedical Metallic Substrates

    Directory of Open Access Journals (Sweden)

    Leticia Esteban-Tejeda

    2014-07-01

    Full Text Available The present work presents new bactericidal coatings, based on two families of non-toxic, antimicrobial glasses belonging to B2O3–SiO2–Na2O–ZnO and SiO2–Na2O–Al2O3–CaO–B2O3 systems. Free of cracking, single layer direct coatings on different biomedical metallic substrates (titanium alloy, Nb, Ta, and stainless steel have been developed. Thermal expansion mismatch was adjusted by changing glass composition of the glass type, as well as the firing atmosphere (air or Ar according to the biomedical metallic substrates. Formation of bubbles in some of the glassy coatings has been rationalized considering the reactions that take place at the different metal/coating interfaces. All the obtained coatings were proven to be strongly antibacterial versus Escherichia coli (>4 log.

  11. A differential scanning calorimetric study of the effects of metal ions, substrate/product, substrate analogues and chaotropic anions on the thermal denaturation of yeast enolase 1.

    Science.gov (United States)

    Brewer, J M; Wampler, J E

    2001-03-14

    The thermal denaturation of yeast enolase 1 was studied by differential scanning calorimetry (DSC) under conditions of subunit association/dissociation, enzymatic activity or substrate binding without turnover and substrate analogue binding. Subunit association stabilizes the enzyme, that is, the enzyme dissociates before denaturing. The conformational change produced by conformational metal ion binding increases thermal stability by reducing subunit dissociation. 'Substrate' or analogue binding additionally stabilizes the enzyme, irrespective of whether turnover is occurring, perhaps in part by the same mechanism. More strongly bound metal ions also stabilize the enzyme more, which we interpret as consistent with metal ion loss before denaturation, though possibly the denaturation pathway is different in the absence of metal ion. We suggest that some of the stabilization by 'substrate' and analogue binding is owing to the closure of moveable polypeptide loops about the active site, producing a more 'closed' and hence thermostable conformation.

  12. Wetting and spreading behavior of molten brazing filler metallic alloys on metallic substrate

    Science.gov (United States)

    Kogi, Satoshi; Kajiura, Tetsurou; Hanada, Yukiakira; Miyazawa, Yasuyuki

    2014-08-01

    Wetting and spreading of molten brazing filler material are important factors that influence the brazing ability of a joint to be brazed. Several investigations into the wetting ability of a brazing filler alloy and its surface tension in molten state, in addition to effects of brazing time and temperature on the contact angle, have been carried out. In general, dissimilar-metals brazing technology and high-performance brazed joint are necessities for the manufacturing field in the near future. Therefore, to address this requirement, more such studies on wetting and spreading of filler material are required for a deeper understanding. Generally, surface roughness and surface conditions affect spreading of molten brazing filler material during brazing. Wetting by and interfacial reactions of the molten brazing filler material with the metallic substrate, especially, affect strongly the spreading of the filler material. In this study, the effects of surface roughness and surface conditions on the spreading of molten brazing filler metallic alloys were investigated. Ag-(40-x)Cu-xIn and Ag- (40-x)Cu-xSn (x=5, 10, 15, 20, 25) alloys were used as brazing filler materials. A mild-steel square plate (S45C (JIS); side: 30 mm; thickness: 3mm) was employed as the substrate. A few surfaces with varying roughness were prepared using emery paper. Brazing filler material and metallic base plate were first washed with acetone, and then a flux was applied to them. The filler, 50 mg, was placed on the center of the metallic base with the flux. A spreading test was performed under Ar gas using an electrically heated furnace, after which, the original spreading area, defined as the sessile drop area, and the apparent spreading area, produced by the capillary grooves, were both evaluated. It was observed that the spreading area decreased with increasing In and Sn content.

  13. In-plane aligned YBCO tape on textured YSZ buffer layer deposited on stainless steel substrate by laser ablation only with O{sup +} ion beam assistance

    Energy Technology Data Exchange (ETDEWEB)

    Huang Xintang [Huazhong Univ. of Sci. and Technol., Wuhan (China). Nat. Lab. of Laser Technol.]|[Huazhong Normal Univ., Wuhan, HB (China). Dept. of Physics; Wang Youqing; Wang Qiuliang; Chen Qingming [Huazhong Univ. of Sci. and Technol., Wuhan (China). Nat. Lab. of Laser Technol.

    1999-08-16

    In this paper we have prepared YSZ buffer layers on stainless steel substrates by laser ablation only with O{sup +} ion beam assistance and YBCO films on YSZ/steel consequently. The relevant parameters of YSZ and YBCO film deposition are indicated. (orig.) 8 refs.

  14. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  15. Synthesis and characterization of ZnO nanostructures on noble-metal coated substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dikovska, A.Og. [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tsarigradsko Chaussee, Sofia 1784 (Bulgaria); Atanasova, G.B. [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl. 11, 1113 Sofia (Bulgaria); Avdeev, G.V. [Rostislaw Kaischew Institute of Physical Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl. 11, 1113 Sofia (Bulgaria); Nedyalkov, N.N. [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tsarigradsko Chaussee, Sofia 1784 (Bulgaria)

    2016-06-30

    Highlights: • ZnO nanostructures were fabricated on Au–Ag alloy coated silicon substrates by applying pulsed laser deposition. • Morphology of the ZnO nanostructures was related to the Au–Ag alloy content in the catalyst layer. • Increasing the Ag content in Au–Ag catalyst layer changes the morphology of the ZnO nanostructures from nanorods to nanobelts. - Abstract: In this work, ZnO nanostructures were fabricated on noble-metal (Au, Ag and Au–Ag alloys) coated silicon substrates by applying pulsed laser deposition. The samples were prepared at a substrate temperature of 550 °C, an oxygen pressure of 5 Pa, and a laser fluence of 2 J cm{sup −2} – process parameters usually used for deposition of smooth and dense thin films. The metal layer's role is substantial for the preparation of nanostructures. Heating of the substrate changed the morphology of the metal layer and, subsequently, nanoparticles were formed. The use of different metal particles resulted in different morphologies and properties of the ZnO nanostructures synthesized. The morphology of the ZnO nanostructures was related to the Au–Ag alloy's content of the catalyst layer. It was found that the morphology of the ZnO nanostructures evolved from nanorods to nanobelts as the ratio of Au/Ag in the alloy catalyst was varied. The use of a small quantity of Ag in the Au–Ag catalyst (Au{sub 3}Ag) layer resulted predominantly in the deposition of ZnO nanorods. A higher Ag content in the catalyst alloy (AuAg{sub 2}) layer resulted in the growth of a dense structure of ZnO nanobelts.

  16. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  17. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers; Filmes finos de carbono depositados por meio da tecnica de magnetron sputtering usando cobalto, cobre e niquel como buffer-layers

    Energy Technology Data Exchange (ETDEWEB)

    Costa e Silva, Danilo Lopes

    2015-11-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  18. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  19. Metal organic vapor phase epitaxy growth of (Al)GaN heterostructures on SiC/Si(111) templates synthesized by topochemical method of atoms substitution

    DEFF Research Database (Denmark)

    Rozhavskaya, Mariia M.; Kukushkin, Sergey A.; Osipov, Andrey V.

    2017-01-01

    We report a novel approach for metal organic vapor phase epitaxy of (Al)GaN heterostructures on Si substrates. An approximately 90–100 nm thick SiC buffer layer is synthesized using the reaction between Si substrate and CO gas. Highresolution transmission electron microscopy reveals sharp...

  20. The effect of respiration buffer composition on mitochondrial metabolism and function

    OpenAIRE

    Wollenman, Lucas C.; Vander Ploeg, Matthew R.; Miller, Mackinzie L.; Zhang, Yizhu; Bazil, Jason N.

    2017-01-01

    Functional studies on isolated mitochondria critically rely on the right choice of respiration buffer. Differences in buffer composition can lead to dramatically different respiration rates leading to difficulties in comparing prior studies. The ideal buffer facilities high ADP-stimulated respiratory rates and minimizes substrate transport effects so that the ability to distinguish between various treatments and conditions is maximal. In this study, we analyzed a variety of respiration buffer...

  1. Substrate system for spray forming

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Men G. (Export, PA); Chernicoff, William P. (Harrisburg, PA)

    2002-01-01

    A substrate system for receiving a deposit of sprayed metal droplets including a movable outer substrate on which the sprayed metal droplets are deposited. The substrate system also includes an inner substrate disposed adjacent the outer substrate where the sprayed metal droplets are deposited on the outer substrate. The inner substrate includes zones of differing thermal conductivity to resist substrate layer porosity and to resist formation of large grains and coarse constituent particles in a bulk layer of the metal droplets which have accumulated on the outer substrate. A spray forming apparatus and associated method of spray forming a molten metal to form a metal product using the substrate system of the invention is also provided.

  2. Fully solution-processed organic solar cells on metal foil substrates

    KAUST Repository

    Gaynor, Whitney

    2009-08-19

    We demonstrate fully solution-processed organic photovoltaic cells on metal foil substrates with power conversion efficiencies similar to those obtained in devices on transparent substrates. The cells are based on the regioregular poly- (3-hexylthiophene) and C61 butyric acid methyl ester bulk heterojunction system. The bottom electrode is a silver film whose workfunction is lowered by Cs2CO3 using spin-coating to serve as a cathode. The transparent top anode consists of a conductive polymer in combination with a solution-processed silver nanowire mesh that is laminated onto the devices. Each layer of the device, including the transparent electrode, is fabricated from solution, giving rise to the possibility of completely printed solar cells on low-cost substrates.

  3. Strain rate effects on localized necking in substrate-supported metal layers

    OpenAIRE

    BEN BETTAIEB, Mohamed; ABED-MERAIM, Farid

    2017-01-01

    Due to their good mechanical and technological performances, thin substrate-supported metal layers are increasingly used as functional components in flexible electronic devices. Consequently, the prediction of necking, and the associated limit strains, for such components is of major academic and industrial importance. The current contribution aims to numerically investigate the respective and combined effects of strain rate sensitivity of the metal layer and the addition of an elastomer l...

  4. Ductility prediction of substrate-supported metal layers based on rate-independent crystal plasticity theory

    Directory of Open Access Journals (Sweden)

    Akpama Holanyo K.

    2016-01-01

    Full Text Available In this paper, both the bifurcation theory and the initial imperfection approach are used to predict localized necking in substrate-supported metal layers. The self-consistent scale-transition scheme is used to derive the mechanical behavior of a representative volume element of the metal layer from the behavior of its microscopic constituents (the single crystals. The mechanical behavior of the elastomer substrate follows the neo-Hookean hyperelastic model. The adherence between the two layers is assumed to be perfect. Through numerical results, it is shown that the limit strains predicted by the initial imperfection approach tend towards the bifurcation predictions when the size of the geometric imperfection in the metal layer vanishes. Also, it is shown that the addition of an elastomer layer to a metal layer enhances ductility.

  5. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  6. Epitaxial growth of nobel metals on alumina substrates

    International Nuclear Information System (INIS)

    Al-Mohammad, A.

    2007-06-01

    The influence of the reconstructed (0001) α-Al 2 O 3 surface on the heteroepitaxial growth and adhesion properties of small metal particles (gold, silver and copper) of noncontinuous thin films has been investigated. The crystallographic structure and morphology of substrate surfaces were examined by Reflection High Energy Electron Diffraction and Atomic Force Microscopy techniques. The reconstructed surfaces are terminated by one or more Aluminum atomic layers. By means of the Transmission Electronic Microscopy, the various granulometric and lattice parameters variations are investigated during different stages of the heteroepitaxial growth of metallic thin films. We estimated the adhesion energy values for each case of metal//(0001)α-Al 2 O 3 interfaces by two methods: the maximum cluster density and the Lifshits theory of Van der Waals energy of interfaces. The results of both methods are in good agreement. Using these methods, we found interfaces Hamaker's constants values and we investigated all the heteroepitaxial growth steps.(author)

  7. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  8. Organic substrates as electron donors in permeable reactive barriers for removal of heavy metals from acid mine drainage.

    Science.gov (United States)

    Kijjanapanich, P; Pakdeerattanamint, K; Lens, P N L; Annachhatre, A P

    2012-12-01

    This research was conducted to select suitable natural organic substrates as potential carbon sources for use as electron donors for biological sulphate reduction in a permeable reactive barrier (PRB). A number of organic substrates were assessed through batch and continuous column experiments under anaerobic conditions with acid mine drainage (AMD) obtained from an abandoned lignite coal mine. To keep the heavy metal concentration at a constant level, the AMD was supplemented with heavy metals whenever necessary. Under anaerobic conditions, sulphate-reducing bacteria (SRB) converted sulphate into sulphide using the organic substrates as electron donors. The sulphide that was generated precipitated heavy metals as metal sulphides. Organic substrates, which yielded the highest sulphate reduction in batch tests, were selected for continuous column experiments which lasted over 200 days. A mixture of pig-farm wastewater treatment sludge, rice husk and coconut husk chips yielded the best heavy metal (Fe, Cu, Zn and Mn) removal efficiencies of over 90%.

  9. Salt-Driven Deposition of Thermoresponsive Polymer-Coated Metal Nanoparticles on Solid Substrates.

    Science.gov (United States)

    Zhang, Zhiyue; Maji, Samarendra; da Fonseca Antunes, André B; De Rycke, Riet; Hoogenboom, Richard; De Geest, Bruno G

    2016-06-13

    Here we report on a simple, generally applicable method for depositing metal nanoparticles on a wide variety of solid surfaces under all aqueous conditions. Noble-metal nanoparticles obtained by citrate reduction followed by coating with thermoresponsive polymers spontaneously form a monolayer-like structure on a wide variety of substrates in presence of sodium chloride whereas this phenomenon does not occur in salt-free medium. Interestingly, this phenomenon occurs below the cloud point temperature of the polymers and we hypothesize that salt ion-induced screening of electrostatic charges on the nanoparticle surface entropically favors hydrophobic association between the polymer-coated nanoparticles and a hydrophobic substrate. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    Science.gov (United States)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  11. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  12. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  13. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  14. Effects of buffer thickness on ATW blanket performance

    International Nuclear Information System (INIS)

    Yang, W. S.; Mercatali, L.; Taiwo, T. A.; Hill, R. N.

    2001-01-01

    This paper presents preliminary results of target and buffer design studies for liquid metal cooled accelerator transmutation of waste (ATW) systems, aimed at maximizing the source importance while simultaneously reducing the irradiation damage to fuel. Using 840 MWt liquid metal cooled ATW designs, the effects of buffer thickness on the blanket performance have been studied. Varying the buffer thickness for a given blanket configuration, system performance parameters have been estimated by a series of calculations using the MCNPX and REBUS-3 codes. The effects of source importance variation are studied by investigating the low-energy ( and lt; 20 MeV) neutron source distribution and the equilibrium cycle blanket performance parameters such as fuel inventory, discharge burnup, burnup reactivity loss, and peak fast fluence. For investigating irradiation damage to fuel, the displacements per atom (dpa), hydrogen production, and helium production rates are evaluated at the buffer and blanket interface where the peak fast fluence occurs. Results for the liquid-metal-cooled designs show that the damage rates and the source importance increase monotonically as the buffer thickness decreases. Based on a compromise between the competing objectives of increasing the source importance and reducing the damage rates, a buffer thickness of around 20 cm appears to be reasonable. Investigation of the impact of the proton beam energy on the target and buffer design shows that for a given blanket power level, a lower beam energy (0.6 GeV versus 1 GeV) results in a higher irradiation damage to the beam window. This trend occurs because of the increase in the beam intensity required to maintain the power level

  15. Effects of Buffer Thickness on ATW Blanket Performance

    International Nuclear Information System (INIS)

    Yang, W.S.; Mercatali, L.; Taiwo, T.A.; Hill, R.N.

    2002-01-01

    This paper presents preliminary results of target and buffer design studies for liquid metal cooled accelerator transmutation of waste (ATW) systems, aimed at maximizing the source importance while simultaneously reducing the irradiation damage to fuel. Using 840 MWt liquid metal cooled ATW designs, the effects of buffer thickness on the blanket performance have been studied. Varying the buffer thickness for a given blanket configuration, system performance parameters have been estimated by a series of calculations using the MCNPX and REBUS-3 codes. The effects of source importance variation are studied by investigating the low-energy (< 20 MeV) neutron source distribution and the equilibrium cycle blanket performance parameters such as fuel inventory, discharge burnup, burnup reactivity loss, and peak fast fluence. For investigating irradiation damage to fuel, the displacements per atom (dpa), hydrogen production, and helium production rates are evaluated at the buffer and blanket interface where the peak fast fluence occurs. Results for the liquid-metal-cooled designs show that the damage rates and the source importance increase monotonically as the buffer thickness decreases. Based on a compromise between the competing objectives of increasing the source importance and reducing the damage rates, a buffer thickness of around 20 cm appears to be reasonable. Investigation of the impact of the proton beam energy on the target and buffer design shows that for a given blanket power level, a lower beam energy (0.6 GeV versus 1 GeV) results in a higher irradiation damage to the beam window. This trend occurs because of the increase in the beam intensity required to maintain the power level. (authors)

  16. Superconducting and structural properties of plasma sprayed YBaCuO layers deposited on metallic substrates

    NARCIS (Netherlands)

    Hemmes, Herman K.; Jäger, D; Smithers, M.A.; Smithers, M.; van der Veer, J.; van der Veer, J.M.; Stover, D.; Rogalla, Horst

    1993-01-01

    The properties of plasma sprayed Y-Ba-Cu-O coatings deposited on metallic substrates are studied. Stainless steel, nickel steels and pure nickel are used as substrate. Y-Ba-Cu-O deposited on stainless steel and nickel steel reacts with the substrate. This interaction can be suppressed by using an

  17. Assessment of Automotive Coatings Used on Different Metallic Substrates

    Directory of Open Access Journals (Sweden)

    W. Bensalah

    2014-01-01

    Full Text Available Four epoxy primers commonly used in the automotive industry were applied by gravity pneumatic spray gun over metallic substrates, specifically, steel, electrogalvanized steel, hot-dip galvanized steel, and aluminum. A two-component polyurethane resin was used as topcoat. To evaluate the performance of the different coating systems, the treated panels were submitted to mechanical testing using Persoz hardness, impact resistance, cupping, lattice method, and bending. Tribological properties of different coating systems were conducted using pin on disc machine. Immersion tests were carried out in 5% NaCl and immersion tests in 3% NaOH solutions. Results showed which of the coating systems is more suitable for each substrate in terms of mechanical, tribological, and anticorrosive performance.

  18. Fracture characterization of inhomogeneous wrinkled metallic films deposited on soft substrates

    Science.gov (United States)

    Kishida, Hiroshi; Ishizaka, Satoshi; Nagakura, Takumi; Suzuki, Hiroaki; Yonezu, Akio

    2017-12-01

    This study investigated the fracture properties of wrinkled metallic films on a polydimethylsiloxane (PDMS) soft substrate. In particular, the crack density of the wrinkled film during tensile deformation was examined. In order to achieve better deformability of metallic thin films, a method to fabricate a wrinkled thin film on a PDMS soft substrate was first established. The copper (Cu) nano-film fabricated in this study possessed a wrinkled geometry, which plays a critical role in determining the extent of large elastic deformation. To create the wrinkled structure, wet-etching with a polymeric sacrificial layer was used. A sacrificial layer was first deposited onto a silicone rubber sheet. During the curing process of the layer, a compressive strain was applied such that the hardened surface layer buckled, and a wrinkled form was obtained. Subsequently, a PDMS solution was used to cover the layer in order to form a wrinkled PDMS substrate. Finally, the Cu film was deposited onto the wrinkled PDMS, such that the wrinkled Cu film on a soft PDMS substrate was fabricated. The use of uni-axial tensile tests resulted in film crack generation at the stress concentration zone in the wrinkled structure of the films. When the tensile loading was increased, the number of cracks increased. It was found that the increase in crack density was strongly related to the inhomogeneous nature of the wrinkled structure. Such a trend in crack density was investigated using FEM (finite element method) computations, such that this study established a simple mechanical model that may be used to predict the increase in crack density during tensile deformation. This model was verified through several experiments using various wrinkle patterns. The proposed mechanical model may be useful to predict the crack density of a wrinkled metallic film subject to tensile loading.

  19. Comparison of interface structure of BCC metallic (Fe, V and Nb) films on MgO (100) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Du, J.L. [State Key Laboratory of Nuclear Physics and Technology, School of Physics, Peking University, Beijing 100871 (China); Zhang, L.Y. [State Key Laboratory for Mechanical Behavior of Materials, Xi’an Jiaotong University, Xi’an, 710049 (China); Fu, E.G., E-mail: efu@pku.edu.cn [State Key Laboratory of Nuclear Physics and Technology, School of Physics, Peking University, Beijing 100871 (China); Ding, X., E-mail: dingxd@mail.xjtu.edu.cn [State Key Laboratory for Mechanical Behavior of Materials, Xi’an Jiaotong University, Xi’an, 710049 (China); Yu, K.Y., E-mail: kyyu@cup.edu.cn [Department of Materials Science and Engineering, China University of Petroleum, Beijing 102249 (China); Wang, Y.G. [State Key Laboratory of Nuclear Physics and Technology, School of Physics, Peking University, Beijing 100871 (China); Wang, Y.Q.; Baldwin, J.K. [Experimental Physical Sciences Directorate, Los Alamos National Laboratory, Los Alamos, NM 87544 (United States); Wang, X.J. [State Key Laboratory of Advanced Optical Communication Systems and Networks, Peking University, Beijing 100871 (China); Xu, P. [Department of Chemistry, Harbin Institute of Technology, Harbin, Heilongjiang, 150001 (China)

    2017-07-15

    Highlights: • The difference of BCC metal/MgO(100) interface configuration with various lattice mismatches is identified by experiments and simulations in terms of dislocations and work of separation. • The strength of bonds along interface is found to be the fundamental factor to determine the interface configurations between BCC metal and MgO substrate. • The combination of experiments and simulations shows that the O-atop model is the actual match type between BCC metal and MgO substrate. - Abstract: This study systematically investigates the interface structure of three body-centered-cubic (BCC) metallic (Fe, V and Nb) films grown on MgO(100) substrates through experiments and simulations. Orientation relationships of their interfaces with the different lattice mismatches exhibit cube-on-cube configurations. The misfit dislocations at these three interfaces exhibit different characteristics. High resolution TEM (HRTEM), combined with first principle calculations, demonstrates the O-atop match type between metal atoms and MgO substrates for the first time. The fundamental mechanism in determining the interface configuration is discussed in terms of the work of separation and delocalization of atomic charge density.

  20. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  1. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  2. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.

    Science.gov (United States)

    Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N

    2018-05-21

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  3. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Hanna V. Bandarenka

    2018-05-01

    Full Text Available The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs, and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  4. Study on advanced Ce0.9La0.1O2/Gd2Zr2O7 buffer layers architecture towards all chemical solution processed coated conductors

    DEFF Research Database (Denmark)

    Yue, Zhao; Ma, L.; Wu, W.

    2015-01-01

    2Zr2O7 buffer layer stack and the 200 nm thick YBa2Cu3O7 (YBCO) superconducting layer were sequentially deposited on textured NiW substrates using metal-organic deposition routes. The surface texture of the Gd2Zr2O7 barrier layer deteriorates when the film thickness increases to 80 nm, although...

  5. Buffering capacity: its relevance in soil and water pollution

    Energy Technology Data Exchange (ETDEWEB)

    Stigliani, W.M. [University of Northern Iowa, Cedar Falls (United States)

    1996-02-01

    Buffering capacities in soils are essential for neutralizing or immobilizing inputs of acids and heavy metals. There are four major buffering regimes, each of which operates in a defined pH range. When the rate of pollutant inputs exceeds the rate of natural replenishment of the buffer in a specific regime, the supply of buffer will be exhausted over time, and the soil may switch to a regime at lower pH. As the pH of the soil declines, so does its capacity to immobilize heavy metals. If the soil initially has a moderately high buffering capacity, the time scale before exhaustion is on the order of decades to a century. Over this time there may be no observable environmental effects. When the effects do become obvious, it may be too late to reverse the damage. The importance of buffering capacity as a valued environmental resource must be recognized, and its preservation must be a major component of long-term soil protection policies. (Author). 17 refs., 6 figs., 1 tab.

  6. Microtransfer printing of metal ink patterns onto plastic substrates utilizing an adhesion-controlled polymeric donor layer

    International Nuclear Information System (INIS)

    Park, Ji-Sub; Choi, Jun-Chan; Park, Min-Kyu; Bae, Jeong Min; Bae, Jin-Hyuk; Kim, Hak-Rin

    2016-01-01

    We propose a method for transfer-printed electrode patterns onto flexible/plastic substrates, specifically intended for metal ink that requires a high sintering temperature. Typically, metal-ink-based electrodes cannot be picked up for microtransfer printing because the adhesion between the electrodes and the donor substrate greatly increases after the sintering process due to the binding materials. We introduced a polymeric donor layer between the printed electrodes and the donor substrate and effectively reduced the adhesion between the Ag pattern and the polymeric donor layer by controlling the interfacial contact area. After completing a wet-etching process for the polymeric donor layer, we obtained Ag patterns supported on the fine polymeric anchor structures; the Ag patterns could be picked up onto the stamp surface even after the sintering process by utilizing the viscoelastic properties of the elastomeric stamp with a pick-up velocity control. The proposed method enables highly conductive metal-ink-based electrode patterns to be applied on thermally weak plastic substrates via an all-solution process. Metal electrodes transferred onto a film showed superior electrical and mechanical stability under the bending stress test required for use in printed flexible electronics. (paper)

  7. Effects of the buffering capacity of the soil on the mobilization of heavy metals. Equilibrium and kinetics.

    Science.gov (United States)

    Villen-Guzman, Maria; Paz-Garcia, Juan M; Amaya-Santos, Gema; Rodriguez-Maroto, Jose M; Vereda-Alonso, Carlos; Gomez-Lahoz, Cesar

    2015-07-01

    Understanding the possible pH-buffering processes is of maximum importance for risk assessment and remediation feasibility studies of heavy-metal contaminated soils. This paper presents the results about the effect of the buffering capacity of a polluted soil, rich in carbonates, on the pH and on the leaching evolution of its main contaminant (lead) when a weak acid (acetic acid) or a strong one (nitric acid) are slowly added. In both cases, the behavior of lead dissolution could be predicted using available (scientifically verified freeware) models assuming equilibrium between the solid and the aqueous phase. However, the experimental results indicate that the dissolution of calcium and magnesium carbonates is kinetically controlled. These kinetic limitations affect the overall behavior, and should be considered to understand also the response of the metals under local equilibrium. The well-known BCR sequential extraction procedure was used before- and after-treatment, to fractionate the lead concentration in the soil according to its mobility. The BCR results were also in agreement with the predictions of the equilibrium model. This agreement allows new insights about the information that could be derived from the BCR fractionation analysis. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Near single-crystalline, high-carrier-mobility silicon thin film on a polycrystalline/amorphous substrate

    Science.gov (United States)

    Findikoglu, Alp T [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM; Matias, Vladimir [Santa Fe, NM; Choi, Woong [Los Alamos, NM

    2009-10-27

    A template article including a base substrate including: (i) a base material selected from the group consisting of polycrystalline substrates and amorphous substrates, and (ii) at least one layer of a differing material upon the surface of the base material; and, a buffer material layer upon the base substrate, the buffer material layer characterized by: (a) low chemical reactivity with the base substrate, (b) stability at temperatures up to at least about 800.degree. C. under low vacuum conditions, and (c) a lattice crystal structure adapted for subsequent deposition of a semiconductor material; is provided, together with a semiconductor article including a base substrate including: (i) a base material selected from the group consisting of polycrystalline substrates and amorphous substrates, and (ii) at least one layer of a differing material upon the surface of the base material; and, a buffer material layer upon the base substrate, the buffer material layer characterized by: (a) low chemical reactivity with the base substrate, (b) stability at temperatures up to at least about 800.degree. C. under low vacuum conditions, and (c) a lattice crystal structure adapted for subsequent deposition of a semiconductor material, and, a top-layer of semiconductor material upon the buffer material layer.

  9. Corrosion resistance of Ni-50Cr HVOF coatings on 310S alloy substrates in a metal dusting atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Saaedi, J. [Centre for Advanced Coating Technologies, Department of Materials Science and Engineering, University of Toronto, 184 College Street, Toronto, Ontario M5S 3E4 (Canada); Department of Materials and Metallurgical Engineering, Iran University of Science and Technology, Tehran (Iran, Islamic Republic of); Arabi, H.; Mirdamadi, S.; Ghorbani, H. [Department of Materials and Metallurgical Engineering, Iran University of Science and Technology, Tehran (Iran, Islamic Republic of); Coyle, T.W. [Centre for Advanced Coating Technologies, Department of Materials Science and Engineering, University of Toronto, 184 College Street, Toronto, Ontario M5S 3E4 (Canada)

    2011-09-15

    Metal dusting attack has been examined after three 168 h cycles on two Ni-50Cr coatings with different microstructures deposited on 310S alloy substrates by the high velocity oxy-fuel (HVOF) thermal-spray process. Metal dusting in uncoated 310S alloy specimens was found to be still in the initiation stage after 504 h of exposure in the 50H{sub 2}:50CO gas environment at 620 C. Dense Ni-50Cr coatings offered suitable resistance to metal dusting. Metal dusting was observed in the 310S substrates adjacent to pores at the interface between the substrate and a porous Ni-50Cr coating. The porosity present in the as-deposited coatings was shown to introduce a large variability into coating performance. Carbon formed by decomposition of the gaseous species accumulated in the surface pores and resulted in the dislodgement of surface splats due to stresses generated by the volume changes. When the corrosive gas atmosphere was able to penetrate through the interconnected pores and reach the coating-substrate interface, the 310S substrate was carburized, metal dusting attack occurred, and the resulting formation of coke in the pores led to local failure of the coating. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Development of 1 m HTS conductor using YBCO on textured metal substrate

    International Nuclear Information System (INIS)

    Yagi, M.; Sakamoto, H.; Mukoyama, S.; Yamamoto, K.; Amemiya, N.; Nagaya, S.; Kashima, N.; Shiohara, Y.

    2009-01-01

    We fabricated 1 m high temperature superconducting conductor (HTS conductor) using YBa 2 Cu 3 O 7-x coated conductors (YBCO tapes) on textured metal substrates, which are expected to be lower in cost than YBCO tapes using ion-beam assisted deposition. Those substrate and intermediate layers were manufactured by Furukawa Electric, and YBCO and a protective layer were applied to the intermediate layer by Chubu Electric Power. Before fabricating the conductor, a 0.1 mm thick copper tape was soldered to the YBCO tape, and 10 mm wide YBCO tape was divided into three strips by a YAG laser. To have sufficient current capacity for 1 kA, a two-layer conductor was fabricated, and its critical current (I c ) was 1976 A, but the magnetic properties of the textured metal substrates affected the increase in AC loss. In a low current region, the AC loss in this conductor was much higher than the Norris strip model, but approached the Norris strip model in the high current region because the magnetization was almost saturated. Low AC loss of 0.144 W/m at 1 kA rms was achieved even though the conductor had a small outer diameter of 20 mm and was composed of YBCO tapes with magnetic substrates.

  11. The effect of grooves in amorphous substrates on the orientation of metal deposits. I - Carbon substrates

    Science.gov (United States)

    Anton, R.; Poppa, H.; Flanders, D. C.

    1982-01-01

    The graphoepitaxial alignment of vapor-deposited discrete metal crystallites is investigated in the nucleation and growth stages and during annealing by in situ UHV/TEM techniques. Various stages of nucleation, growth and coalescence of vapor deposits of Au, Ag, Pb, Sn, and Bi on amorphous, topographically structured C substrates are analyzed by advanced dark-field techniques to detect preferred local orientations. It is found that the topography-induced orientation of metal crystallites depends strongly on their mobility and their respective tendency to develop pronounced crystallographic shapes. Lowering of the average surface free energies and increasing the crystallographic surface energy anisotropies cause generally improved graphoepitaxial alignments.

  12. COATING OF POLYMERIC SUBSTRATE CATALYSTS ON METALLIC SURFACES

    Directory of Open Access Journals (Sweden)

    H. HOSSEINI

    2010-12-01

    Full Text Available This article presents results of a study on coating of a polymeric substrate ca-talyst on metallic surface. Stability of coating on metallic surfaces is a proper specification. Sol-gel technology was used to synthesize adhesion promoters of polysilane compounds that act as a mediator. The intermediate layer was coated by synthesized sulfonated polystyrene-divinylbenzene as a catalyst for production of MTBE in catalytic distillation process. Swelling of catalyst and its separation from the metal surface was improved by i increasing the quantity of divinylbenzene in the resin’s production process and ii applying adhesion pro¬moters based on the sol-gel process. The rate of ethyl silicate hydrolysis was intensified by increasing the concentration of utilized acid while the conden¬sation polymerization was enhanced in the presence of OH–. Sol was formed at pH 2, while the pH should be 8 for the formation of gel. By setting the ratio of the initial concentrations of water to ethyl silicate to 8, the gel formation time was minimized.

  13. Application of hybrid organic/inorganic polymers as coatings on metallic substrates

    Science.gov (United States)

    Augustinho, T. R.; Motz, G.; Ihlow, S.; Machado, R. A. F.

    2016-09-01

    Acrylic polymers, particularly poly (methyl methacrylate) (PMMA), have certain specific properties, such as good film formation, transparency, and good mechanical properties, which have been widely used in paints, coatings and adhesives. However, the limited chemical and physical stability of these pure polymers limits their applications when exposed to hostile conditions, as in ship hulls, for example. A suitable way to enhance PMMA properties is the addition of silicon polymers with very good protective characteristics. In this study, a PMMA and HTT 1800 (commercial silazane) copolymer were applied on metallic substrate and compared to pure PMMA and HTT 1800. All the materials were applied as coatings. They were applied on stainless steel via dip-coating to investigate the coating properties. Thermal cycling was employed to analyze coating durability at high temperatures (50 °C to 600 °C). Optical microscopy (OM) and scanning electron microscopy (SEM) were used to characterize the coated surfaces, and the adhesion of pure PMMA, pure HTT 1800 and PMMA/HTT 1800 coatings on metallic substrate was investigated by Cross-Cut-Test (ASTM D 3359). The sessile drop method was used to determine the contact angle. PMMA coatings presented complete degradation from 250 °C, while hybrid coatings of PMMA and HTT 1800 have good protection until 400 °C. The adherence of the coating on metallic substrate showed improvement in all synthesized materials when compared to pure PMMA, obtaining the best adherence possible. The contact angle test showed that the hydrophobicity of the hybrid coatings is higher than that of the pure coatings.

  14. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  15. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  16. Tuning the architectures of lead deposits on metal substrates by electrodeposition

    International Nuclear Information System (INIS)

    Yao Chenzhong; Liu Meng; Zhang Peng; He Xiaohui; Li Gaoren; Zhao Wenxia; Liu Peng; Tong Yexiang

    2008-01-01

    Different morphologies of lead (Pb) deposited on different metal substrates have been prepared via electrochemical deposition in aqueous solution. The morphologies of as-deposited lead were determined by scanning electron microscope (SEM). It is found that the various morphologies of the products are dependent on the electrodeposition conditions, including the deposition current densities, concentration of additives, substrates and deposition time. X-ray diffraction (XRD) and transmission electron microscope (TEM) results reveal that all these lead deposits with different morphologies can be assigned to the space group Fm-3m (2 2 5)

  17. Evaluation of methods for application of epitaxial buffer and superconductor layers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-30

    The recent achievements of critical currents exceeding million amperes per square centimeter at 77K in YBCO deposited over suitably textured substrate have stimulated interest in the potential applications of coated conductors at high temperatures and in high magnetic fields. Currently, ion-beam assisted deposition (IBAD), and rolling assisted bi-axially textured substrate (RABiTS), represent two available options for obtaining textured substrates. For applying suitable coatings of buffer and high temperature superconductor (HTS) material over textured substrates, several options are available which include sputtering, electron-beam evaporation, laser ablation, electrophoresis, chemical vapor deposition (including metal organics chemical vapor deposition), sol-gel, metal organics decomposition, electrodeposition and aerosol/spray pyrolysis. A commercial continuous long-length wire/tape manufacturing scheme developed out of any suitable combination of the above techniques would consist of operations involving preparation of the substrate and application of buffer, HTS and passivation/insulation materials and special treatment steps such as post-annealing. These operations can be effected by various process parameters that can be classified into chemistry, materials, engineering and environmental related parameters. Under the DOE-sponsored program, to carry out an engineering evaluation, first, the process flow schemes were developed for various candidate options identifying the major operating steps, process conditions, and process streams. Next, to evaluate quantifiable parameters such as process severity (e.g. temperature and pressure), coating thickness and deposition rate for HTS material, achieved maximum J{sub c} value (for films >1{micro}m thick) and cost of chemical and material utilization efficiency, the multi-attribute method was used to determine attributes/merits for various parameters and candidate options. To determine similar attribute values for the

  18. Interfacial Structure and Photocatalytic Activity of Magnetron Sputtered TiO2 on Conducting Metal Substrates

    DEFF Research Database (Denmark)

    Daviðsdóttir, Svava; Petit, Jean-Pierre; Mermoux, Michel

    2014-01-01

    The photocatalytic behavior of magnetron sputtered anatase TiO2 coatings on copper, nickel, and gold was investigated with the aim of understanding the effect of the metallic substrate and coating-substrate interface structure. Stoichiometry and nanoscale structure of the coating were investigated...

  19. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  20. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  1. Charge movement in a GaN-based hetero-structure field effect transistor structure with carbon doped buffer under applied substrate bias

    International Nuclear Information System (INIS)

    Pooth, Alexander; Uren, Michael J.; Cäsar, Markus; Kuball, Martin; Martin, Trevor

    2015-01-01

    Charge trapping and transport in the carbon doped GaN buffer of a GaN-based hetero-structure field effect transistor (HFET) has been investigated under both positive and negative substrate bias. Clear evidence of redistribution of charges in the carbon doped region by thermally generated holes is seen, with electron injection and capture observed during positive bias. Excellent agreement is found with simulations. It is shown that these effects are intrinsic to the carbon doped GaN and need to be controlled to provide reliable and efficient GaN-based power HFETs

  2. Decomposition of dual hydroxyapatite/fluoroapatite coatings on metal substrates

    International Nuclear Information System (INIS)

    Wei, M.; Evans, J.H.; Wentrup-Byrne, E.

    2000-01-01

    In order to prevent the formation of tricalcium phosphate (TCP), a relatively weak and rapidly biodegradable biomaterial, during sintering of hydroxyapatite (Hap) onto metal substrates, a novel two layer coating was applied. This was achieved by pre-coating the substrate with either Hap or fluorapatite (Fap) which preserved the purity of the Hap top coating. However, Fap is more stable thermally than Hap. The composition of Hap and Fap were determined by x-ray diffraction and infrared spectroscopy. A scanning electron microscope was also used to characterise the surface morphologiy of the coatings. By using Fap the formation of TCP was totally prevented through out the coatings at a sintering temperature of 1050 deg C at which a relatively dense Hap outer coating was produced. Copyright (2000) The Australian Ceramic Society

  3. The effect of substrate orientation on the kinetics and thermodynamics of initial oxide-film growth on metals

    Energy Technology Data Exchange (ETDEWEB)

    Reichel, Friederike

    2007-11-19

    This thesis addresses the effect of the parent metal-substrate orientation on the thermodynamics and kinetics of ultra-thin oxide-film growth on bare metals upon their exposure to oxygen gas at low temperatures (up to 650 K). A model description has been developed to predict the thermodynamically stable microstructure of a thin oxide film grown on its bare metal substrate as function of the oxidation conditions and the substrate orientation. For Mg and Ni, the critical oxide-film thickness is less than 1 oxide monolayer and therefore the initial development of an amorphous oxide phase on these metal substrates is unlikely. Finally, for Cu and densely packed Cr and Fe metal surfaces, oxide overgrowth is predicted to proceed by the direct formation and growth of a crystalline oxide phase. Further, polished Al single-crystals with {l_brace}111{r_brace}, {l_brace}100{r_brace} and {l_brace}110{r_brace} surface orientations were introduced in an ultra-high vacuum system for specimen processing and analysis. After surface cleaning and annealing, the bare Al substrates have been oxidized by exposure to pure oxygen gas. During the oxidation, the oxide-film growth kinetics has been established by real-time in-situ spectroscopic ellipsometry. After the oxidation, the oxide-film microstructures were investigated by angle-resolved X-ray photoelectron spectroscopy and low energy electron diffraction. Finally, high-resolution transmission electron microscopic analysis was applied to study the microstructure and morphology of the grown oxide films on an atomic scale. (orig.)

  4. FIB NANOPATTERNING OF METAL FILMS ON PMMA SUBSTRATES: NON-SPUTTERING MODE

    DEFF Research Database (Denmark)

    Tavares, Luciana; Adashkevich, Vadzim; Chiriaev, Serguei

    polymer materials, which results in material shrinkage in the irradiated areas [2]. In this work, we demonstrate that this mechanism can be used for nanopatterning thin metal films deposited on PMMA resist spin-coated onto a silicon substrate. For this purpose, the samples were irradiated with He+ FIB...

  5. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  6. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  7. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  8. Investigation the effects of metallic substrate surfaces due to ion-plasma treatment

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Taran, V.S.; Timoshenko, A.I.; Gasilin, V.V.

    2011-01-01

    It has been found correlation between modification effects and duration of ion-plasma cleaning the substrate surface with titanium ions. Experiments were carried out using serial vacuum-arc equipment ''Bulat-6'' at the stationary mode in non-filtered titanium plasma, which contained considerable quantity of evaporated material droplets. The polished cylinder substrates (diameter and height 9,14,20 mm) have been treated. The substrates were manufactured of stainless steel 12X18H10T and non-oxygen copper M00b. The substrates surface roughness after ion-plasma treatment has been investigated with electron microscope JEOL JSM-840 and optic interference non-contact profilograph- profilometer ''Micron-alpha''. According obtained results the surface of copper and stainless steel substrates has been treated to intensive modification, i.e. substrate surface after treatment significantly differs from initial one. During final ion-plasma treatment a number of effects occur: purification from surface oxides is accompanied with metallic surface ''contamination'' by the cathode material macrodroplets, surface micromelting accompanied by roughness increase, the surface layer annealing with noticeable decrease of hardness.

  9. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  10. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    Science.gov (United States)

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  11. Chemical solution deposition method of fabricating highly aligned MgO templates

    Science.gov (United States)

    Paranthaman, Mariappan Parans [Knoxville, TN; Sathyamurthy, Srivatsan [Knoxville, TN; Aytug, Tolga [Knoxville, TN; Arendt, Paul N [Los Alamos, NM; Stan, Liliana [Los Alamos, NM; Foltyn, Stephen R [Los Alamos, NM

    2012-01-03

    A superconducting article includes a substrate having an untextured metal surface; an untextured barrier layer of La.sub.2Zr.sub.2O.sub.7 or Gd.sub.2Zr.sub.2O.sub.7 supported by and in contact with the surface of the substrate; a biaxially textured buffer layer supported by the untextured barrier layer; and a biaxially textured superconducting layer supported by the biaxially textured buffer layer. Moreover, a method of forming a buffer layer on a metal substrate includes the steps of: providing a substrate having an untextured metal surface; coating the surface of the substrate with a barrier layer precursor; converting the precursor to an untextured barrier layer; and depositing a biaxially textured buffer layer above and supported by the untextured barrier layer.

  12. Fabrication of 5 cm long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer on textured Ni-5%W substrate for YBCO coated conductors via dip-coating PACSD method

    Energy Technology Data Exchange (ETDEWEB)

    Lei, M.; Wang, W.T.; Pu, M.H.; Yang, X.S.; He, L.J. [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia); Zhao, Y., E-mail: yzhao@home.swjtu.edu.cn [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China)] [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia)

    2011-11-15

    Epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer for YBCO coated conductors was deposited via fluorine-free dip-coating CSD. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by carefully controlling the processing. YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via CSD approach. Five centimeters long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer for YBCO coated conductors was deposited via dip-coating polymer-assisted chemical solution deposition (PACSD) approach on bi-axially textured Ni-5%W (2 0 0) alloy substrate. The film formation and texture evolution were investigated using X-ray diffraction and scanning electron microscopy. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by way of carefully controlling the concentration of precursor solution, withdrawing speed, annealing temperature and dwelling time. On consideration of both microstructure and texture, epitaxial SCO single buffer layers were fabricated using precursor solution of 0.3 M cationic concentration, the withdrawing speed of 10 mm/min and heat treatment at 1100 deg. C in Ar-5%H{sub 2} mixture gas for 0.5 h. Epitaxial YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via dip-coating PACSD approach. The PACSD approach was a promising way to fabricate long and low-cost YBCO coated conductors.

  13. Bilayer lift-off process for aluminum metallization

    Science.gov (United States)

    Wilson, Thomas E.; Korolev, Konstantin A.; Crow, Nathaniel A.

    2015-01-01

    Recently published reports in the literature for bilayer lift-off processes have described recipes for the patterning of metals that have recommended metal-ion-free developers, which do etch aluminum. We report the first measurement of the dissolution rate of a commercial lift-off resist (LOR) in a sodium-based buffered commercial developer that does not etch aluminum. We describe a reliable lift-off recipe that is safe for multiple process steps in patterning thin (recipe consists of an acid cleaning of the substrate, the bilayer (positive photoresist/LOR) deposition and development, the sputtering of the aluminum film along with a palladium capping layer and finally, the lift-off of the metal film by immersion in the LOR solvent. The insertion into the recipe of postexposure and sequential develop-bake-develop process steps are necessary for an acceptable undercut. Our recipe also eliminates any need for accompanying sonication during lift-off that could lead to delamination of the metal pattern from the substrate. Fine patterns were achieved for both 100-nm-thick granular aluminum/palladium bilayer bolometers and 500-nm-thick aluminum gratings with 6-μm lines and 4-μm spaces.

  14. Epataxial growth of the high-temperature superconductors YBa2Cu3O7-x on silicon single crystals with buffer layers

    International Nuclear Information System (INIS)

    Lubig, A.

    1991-09-01

    In this work the growth of thin films of the high-temperature superconductor YBa 2 Cu 3 O 7-x on Si(001) substrates has been investigated by Rutherford backscattering, channeling, X-ray diffraction, high resolution transmission electron microscopy, and electrical measurements. Epitaxial buffer layers of electrically insulating, pure and yttria-stabilized ZrO 2 ([Y 2 O 3 ] 0.06 [ZrO 2 ] 0.94 = YSZ) as well as of metallic CoSi 2 were employed to largely prevent the interdiffusion and chemical reaction between the superconductor film and the substrate in spite of the high deposition temperatures of the YBa 2 Cu 3 O 7-x in the range of 600 to 800deg C. (orig.)

  15. Numerical simulation of the alloying process during impulse induction heating of the metal substrate

    Science.gov (United States)

    Popov, V. N.

    2017-10-01

    2D numerical modeling of the processes during the alloying of the substrate surface metal layer is carried out. Heating, phase transition, heat and mass transfer in the molten metal, solidification of the melt are considered with the aid the proposed mathematical model. Under study is the applicability of the high-frequency electromagnetic field impulse for metal heating and melting. The distribution of the electromagnetic energy in the metal is described by empirical formulas. According to the results of numerical experiments, the flow structure in the melt and distribution of the alloying substances is evaluated.

  16. Enhancement of the lithium cycling capability using Li–Zn alloy substrate for lithium metal batteries

    International Nuclear Information System (INIS)

    Chen, Chen; Yang, Yifu; Shao, Huixia

    2014-01-01

    Graphical abstract: - Highlights: • Li-Zn alloy substrate is novelly formed by Li electrodeposition on the Zn substrate precursor. • The coulombic efficiency of Li deposition/stripping on the Li-Zn alloy substrate remains high at 96.7% after 400 cycles. • The SEI film formed during the formation of Li-Zn alloy is stable during Li deposition/stripping cycling on the Li-Zn substrate. • The exchange current density of Li deposition on the Li-Zn substrate is 9.21 × 10 −4 A cm −2 which is nearly eight times larger than that on the Cu substrate. - Abstract: The cycling performance of a Li metal electrode in rechargeable Li batteries is studied using a novelly formed Li–Zn alloy as a substrate. A Zn layer electrodeposited on a Cu disk with ultrasonic assistance is used as a substrate precursor. Li electrodeposition followed to form the Li–Zn alloy. The morphologies of the substrate before and after Li deposition and stripping are investigated by scanning electron microscopy (SEM), and the electrochemical properties of the substrate are investigated by galvanostatic charge-discharge and cyclic voltammetry (CV). The growth states of solid electrolyte interface (SEI) films of Li deposits on the Li–Zn alloy and Cu surfaces are compared by electrochemical impedance spectroscopy (EIS); exchange current densities of Li electrodeposition on Cu, Zn, and Li–Zn alloy substrates are also compared based on tests of constant current pulse deposition. The efficiency of Li deposition/stripping on the Li–Zn alloy substrate remains high at 96.7% after 400 cycles at a current density of 0.1 mA cm −2 and 250 cycles at the current density of 0.2 mA cm −2 . These results can be attributed to the formation of a stable SEI film on the Li–Zn substrate and the high exchange current density of Li deposition and stripping on this substrate. The Li–Zn alloy proposed in this work may be a perfect substrate for enhancing the cycling capability of Li metal electrode

  17. Characterization of the porous anodic alumina nanostructures with a metal interlayer on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw

    2014-04-15

    Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were

  18. BaxSr1-xTi1.02O3 metal-insulator-metal capacitors on planarized alumina substrates

    NARCIS (Netherlands)

    Tiggelman, M.P.J.; Reimann, K.; Klee, M.; Mauczok, R.; Keur, W.; Hueting, Raymond Josephus Engelbart

    2010-01-01

    Nanocrystalline barium strontium titanate (BaxSr1−xTi1.02O3) thin films with a barium content of x=0.8, 0.9 and 1 have been fabricated in a metal–insulator–metal configuration on glass-planarized alumina substrates. Cost-effective processing measures have been utilized by using poly-crystalline

  19. Integration of epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films on GaN/AlGaN/GaN/Si(111) substrates using rutile TiO{sub 2} buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)

    2015-09-30

    The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.

  20. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  1. Heteroepitaxial growth of In{sub 0.30}Ga{sub 0.70}As high-electron mobility transistor on 200 mm silicon substrate using metamorphic graded buffer

    Energy Technology Data Exchange (ETDEWEB)

    Kohen, David, E-mail: david.kohen@asm.com; Nguyen, Xuan Sang; Made, Riko I; Lee, Kwang Hong; Lee, Kenneth Eng Kian [Low Energy Electronic Systems IRG (LEES), Singapore-MIT Alliance for Research and Technology, 1 CREATE Way, Singapore 138602 (Singapore); Yadav, Sachin; Kumar, Annie; Gong, Xiao; Yeo, Yee Chia [National University of Singapore, 21 Lower Kent Ridge Rd, Singapore 119077 (Singapore); Heidelberger, Christopher [Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States); Yoon, Soon Fatt [Low Energy Electronic Systems IRG (LEES), Singapore-MIT Alliance for Research and Technology, 1 CREATE Way, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Fitzgerald, Eugene A. [Low Energy Electronic Systems IRG (LEES), Singapore-MIT Alliance for Research and Technology, 1 CREATE Way, Singapore 138602 (Singapore); Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States)

    2016-08-15

    We report on the growth of an In{sub 0.30}Ga{sub 0.70}As channel high-electron mobility transistor (HEMT) on a 200 mm silicon wafer by metal organic vapor phase epitaxy. By using a 3 μm thick buffer comprising a Ge layer, a GaAs layer and an InAlAs compositionally graded strain relaxing buffer, we achieve threading dislocation density of (1.0 ± 0.3) × 10{sup 7} cm{sup −2} with a surface roughness of 10 nm RMS. No phase separation was observed during the InAlAs compositionally graded buffer layer growth. 1.4 μm long channel length transistors are fabricated from the wafer with I{sub DS} of 70 μA/μm and g{sub m} of above 60 μS/μm, demonstrating the high quality of the grown materials.

  2. Coatings of metal substrates assisted by laser radiation

    Directory of Open Access Journals (Sweden)

    Caudevilla, H.

    1998-04-01

    Full Text Available In this contribution, a new way of obtaining ceramic coatings is presented. This method uses precursor suspensions, settled on substrates and in-situ pyrolised with a laser. Different deposition techniques of the ceramic precursors have been tested in order to obtain a homogeneous distribution on the metal substrate before the laser treatment.

    La combinación de recubrimientos utilizando disoluciones de precursores metálicos con la pirólisis asistida por láser, permite obtener una gran diversidad de recubrimientos sobre sustratos de muy distinta naturaleza. Se han realizado estudios, tanto con disoluciones poliméricas, como con disoluciones de tipo sol-gel y pastas obtenidas con técnicas similares, depositadas utilizando métodos convencionales de inmersión y atomización previa a la pirólisis asistida por láser, así como simultánea. En este trabajo se presenta un resumen de los resultados más significativos obtenidos en la realización de recubrimientos sobre sustratos metálicos y cerámicos.

  3. Influence of the metal ion on the enzyme activity and kinetics of PepA from Lactobacillus delbrueckii.

    Science.gov (United States)

    Ewert, Jacob; Glück, Claudia; Strasdeit, Henry; Fischer, Lutz; Stressler, Timo

    2018-03-01

    The aminopeptidase A (PepA; EC 3.4.11.7) belongs to the group of metallopeptidases with two bound metal ions per subunit (M1M2(PepA)) and is specific for the cleavage of N-terminal glutamic (Glu) and aspartic acid (Asp) and, in low amounts, serine (Ser) residues. Our group recently characterized the first PepA from a Lactobacillus strain. However, the characterization was performed using synthetic para-nitroaniline substrates and not original peptide substrates, as was done in the current study. Prior to the characterization using original peptide substrates, the PepA purified was converted to its inactive apo-form and eight different metal ions were tested to restore its activity. It was found that five of the metal ions were able to reactivate apo-PepA: Co 2+ , Cu 2+ , Mn 2+ , Ni 2+ and Zn 2+ . Interestingly, depending on the metal ion used for reactivation, the activity and the pH and temperature profile differed. Exemplarily, MnMn(PepA), NiNi(PepA) and ZnZn(PepA) had an activity optimum using MES buffer (50mM, pH 6.0) and 60°C, whereas the activity optimum changed to Na/K-phosphate-buffer (50mM, pH 7.0) and 55°C for CuCu(PepA). However, more important than the changes in optimum pH and temperature, the kinetic properties of PepA were affected by the metal ion used. While all PepA variants could release N-terminal Glu or Asp, only CoCo(PepA), NiNi(PepA) and CuCu(PepA) could release Ser from the particular peptide substrate. In addition, it was found that the enzyme efficiency (V max /K M ) and catalytic mechanism (positive cooperative binding (Hill coefficent; n), substrate inhibition (K IS )) were influenced by the metal ion. Exemplarily, a high cooperativity (n>2),K IS value >20mM and preference for N-terminal Glu were detected for CuCu(PepA). In summary, the results suggested that an exchange of the metal ion can be used for tailoring the properties of PepA for specific hydrolysis requirements. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Three-dimensional noble-metal nanostructure: A new kind of substrate for sensitive, uniform, and reproducible surface-enhanced Raman scattering

    International Nuclear Information System (INIS)

    Tian Cui-Feng; You Hong-Jun; Fang Ji-Xiang

    2014-01-01

    Surface-enhanced Raman spectroscopy (SERS) is a powerful vibrational spectroscopy technique for highly sensitive structural detection of low concentration analyte. The SERS activities largely depend on the topography of the substrate. In this review, we summarize the recent progress in SERS substrate, especially focusing on the three-dimensional (3D) noble-metal substrate with hierarchical nanostructure. Firstly, we introduce the background and general mechanism of 3D hierarchical SERS nanostructures. Then, a systematic overview on the fabrication, growth mechanism, and SERS property of various noble-metal substrates with 3D hierarchical nanostructures is presented. Finally, the applications of 3D hierarchical nanostructures as SERS substrates in many fields are discussed. (invited review — international conference on nanoscience and technology, china 2013)

  5. Characterization of PZT thin films on metal substrates; Charakterisierung von PZT-Duennschichten auf Metallsubstraten

    Energy Technology Data Exchange (ETDEWEB)

    Dutschke, A.

    2008-02-02

    state due to strong stresses within the films. The magnitude of the lattice distortion is independent of the crystallite size and its extent is generally smaller for crystallites in Nd-doped films than for such in undoped films. After the detailed analysis of the development of the boundary layer between metal substrate and PZT-film, it is identified as a non-ferroelectric, dielectric buffer-layer containing crystalline NiO und NiCr{sub 2}O{sub 4}, different chromium oxides and Pb{sub 2}(CrO{sub 4})O between the PZT film and the conductive substrate significantly diminishing the resulting dielectric properties of the system. By applying a non-stoichiometric La{sub 0,75}Sr{sub 0,2}MnO{sub 3} (ULSM)-electrode below the PZT-film, a better electrical contact is achieved, the (001)-orientation in undoped films is enhanced and narrow P-E-hysteresis loops can be obtained. (orig.)

  6. Probing the structural dependency of photoinduced properties of colloidal quantum dots using metal-oxide photo-active substrates

    International Nuclear Information System (INIS)

    Patty, Kira; Campbell, Quinn; Hamilton, Nathan; West, Robert G.; Sadeghi, Seyed M.; Mao, Chuanbin

    2014-01-01

    We used photoactive substrates consisting of about 1 nm coating of a metal oxide on glass substrates to investigate the impact of the structures of colloidal quantum dots on their photophysical and photochemical properties. We showed during irradiation these substrates can interact uniquely with such quantum dots, inducing distinct forms of photo-induced processes when they have different cores, shells, or ligands. In particular, our results showed that for certain types of core-shell quantum dot structures an ultrathin layer of a metal oxide can reduce suppression of quantum efficiency of the quantum dots happening when they undergo extensive photo-oxidation. This suggests the possibility of shrinking the sizes of quantum dots without significant enhancement of their non-radiative decay rates. We show that such quantum dots are not influenced significantly by Coulomb blockade or photoionization, while those without a shell can undergo a large amount of photo-induced fluorescence enhancement via such blockade when they are in touch with the metal oxide.

  7. Variation of power generation at different buffer types and conductivities in single chamber microbial fuel cells

    KAUST Repository

    Nam, Joo-Youn; Kim, Hyun-Woo; Lim, Kyeong-Ho; Shin, Hang-Sik; Logan, Bruce E.

    2010-01-01

    Microbial fuel cells (MFCs) are operated with solutions containing various chemical species required for the growth of electrochemically active microorganisms including nutrients and vitamins, substrates, and chemical buffers. Many different buffers

  8. Patterning of metallic electrodes on flexible substrates for organic thin-film transistors using a laser thermal printing method

    International Nuclear Information System (INIS)

    Chen, Kun-Tso; Lin, Yu-Hsuan; Ho, Jeng-Rong; Chen, Chih-Kant; Liu, Sung-Ho; Liao, Jin-Long; Cheng, Hua-Chi

    2011-01-01

    We report on a laser thermal printing method for transferring patterned metallic thin films on flexible plastic substrates using a pulsed CO 2 laser. Aluminium and silver line patterns, with micrometre scale resolution on poly(ethylene terephthalate) substrates, are shown. The printed electrodes demonstrate good conductivity and fulfil the properties for bottom-contact organic thin-film transistors. In addition to providing the energy for transferring the film, the absorption of laser light results in a rise in the temperature of the film and the substrate. This also further anneals the film and softens the plastic substrate. Consequently, it is possible to obtain a film with better surface morphology and with its film thickness implanted in part into the plastic surface. This implantation reveals excellent characteristics in adhesion and flexure resistance. Being feasible to various substrates and executable at ambient temperatures renders this approach a potential alternative for patterning metallic electrodes.

  9. Recent Developments of Flexible CdTe Solar Cells on Metallic Substrates: Issues and Prospects

    Directory of Open Access Journals (Sweden)

    M. M. Aliyu

    2012-01-01

    Full Text Available This study investigates the key issues in the fabrication of CdTe solar cells on metallic substrates, their trends, and characteristics as well as effects on solar cell performance. Previous research works are reviewed while the successes, potentials, and problems of such technology are highlighted. Flexible solar cells offer several advantages in terms of production, cost, and application over glass-based types. Of all the metals studied as substrates for CdTe solar cells, molybdenum appears the most favorable candidate, while close spaced sublimation (CSS, electrodeposition (ED, magnetic sputtering (MS, and high vacuum thermal evaporation (HVE have been found to be most common deposition technologies used for CdTe on metal foils. The advantages of these techniques include large grain size (CSS, ease of constituent control (ED, high material incorporation (MS, and low temperature process (MS, HVE, ED. These invert-structured thin film CdTe solar cells, like their superstrate counterparts, suffer from problems of poor ohmic contact at the back electrode. Thus similar strategies are applied to minimize this problem. Despite the challenges faced by flexible structures, efficiencies of up to 13.8% and 7.8% have been achieved in superstrate and substrate cell, respectively. Based on these analyses, new strategies have been proposed for obtaining cheaper, more efficient, and viable flexible CdTe solar cells of the future.

  10. Selective synthesis of double helices of carbon nanotube bundles grown on treated metallic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cervantes-Sodi, Felipe; Iniguez-Rabago, Agustin; Rosas-Melendez, Samuel; Ballesteros-Villarreal, Monica [Departamento de Fisica y Matematicas, Universidad Iberoamericana, Prolongacion Paseo de la Reforma 880, Lomas de Santa Fe (Mexico); Vilatela, Juan J. [IMDEA Materials Institute, E.T.S. de Ingenieros de Caminos, Madrid (Spain); Reyes-Gutierrez, Lucio G.; Jimenez-Rodriguez, Jose A. [Ingenieria Industrial, Grupo JUMEX, Ecatepec de Morelos, Estado de Mexico (Mexico); Palacios, Eduardo [Lab. de Microscopia Electronica de Ultra Alta Resolucion, Instituto Mexicano del Petroleo, San Bartolo Atepehuacan (Mexico); Terrones, Mauricio [Department of Physics, Department of Materials Science and Engineering and Materials Research Institute, Pennsylvania State University, University Park, PA (United States); Research Center for Exotic Nanocarbons (JST), Shinshu University, Nagano (Japan)

    2012-12-15

    Double-helix microstructures consisting of two parallel strands of hundreds of multi-walled carbon nanotubes (MWCNTs) have been synthesized by chemical vapour deposition of ferrocene/toluene vapours on metal substrates. Growth of coiled carbon nanostructures with site selectivity is achieved by varying the duration of thermochemical pretreatment to deposit a layer of SiO{sub x} on the metallic substrate. Production of multibranched structures of MWCNTs converging in SiO{sub x} microstructure is also reported. In the abstract figure, panel (a) shows a coloured micrograph of a typical double-helix coiled microstructure of MWCNTs grown on SiO{sub x} covered steel substrate. Green and blue show each of the two individual strands of MWCNTs. Panel (b) is an amplification of a SiO{sub x} microparticle (white) on the tip of the double-stranded coil (green and blue). The microparticle guides the collective growth of hundreds of MWCNTs to form the coiled structure. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Construction of 3D Metallic Nanowire Arrays on Arbitrarily-Shaped Substrate.

    Science.gov (United States)

    Chen, Fei; Li, Jingning; Yu, Fangfang; Peng, Ru-Wen; Wang, Mu; Mu Wang Team

    Formation of three-dimensional (3D) nanostructures is an important step of advanced manufacture for new concept devices with novel functionality. Despite of great achievements in fabricating nanostructures with state of the art lithography approaches, these nanostructures are normally limited on flat substrates. Up to now it remains challenging to build metallic nanostructures directly on a rough and bumpy surface. Here we demonstrate a unique approach to fabricate metallic nanowire arrays on an arbitrarily-shaped surface by electrodeposition, which is unknown before 2016. Counterintuitively here the growth direction of the nanowires is perpendicular to their longitudinal axis, and the specific geometry of nanowires can be achieved by introducing specially designed shaped substrate. The spatial separation and the width of the nanowires can be tuned by voltage, electrolyte concentration and temperature in electrodeposition. By taking cobalt nanowire array as an example, we demonstrate that head-to-head and tail-to-tail magnetic domain walls can be easily introduced and modulated in the nanowire arrays, which is enlightening to construct new devices such as domain wall racetrack memory. We acknowledge the foundation from MOST and NSF(China).

  12. Interface structure and mechanics between graphene and metal substrates: a first-principles study

    Science.gov (United States)

    Xu, Zhiping; Buehler, Markus J.

    2010-12-01

    Graphene is a fascinating material not only for technological applications, but also as a test bed for fundamental insights into condensed matter physics due to its unique two-dimensional structure. One of the most intriguing issues is the understanding of the properties of graphene and various substrate materials. In particular, the interfaces between graphene and metal substrates are of critical importance in applications of graphene in integrated electronics, as thermal materials, and in electromechanical devices. Here we investigate the structure and mechanical interactions at a graphene-metal interface through density functional theory (DFT)-based calculations. We focus on copper (111) and nickel (111) surfaces adhered to a monolayer of graphene, and find that their cohesive energy, strength and electronic structure correlate directly with their atomic geometry. Due to the strong coupling between open d-orbitals, the nickel-graphene interface has a much stronger cohesive energy with graphene than copper. We also find that the interface cohesive energy profile features a well-and-shoulder shape that cannot be captured by simple pair-wise models such as the Lennard-Jones potential. Our results provide a detailed understanding of the interfacial properties of graphene-metal systems, and help to predict the performance of graphene-based nanoelectronics and nanocomposites. The availability of structural and energetic data of graphene-metal interfaces could also be useful for the development of empirical force fields for molecular dynamics simulations.

  13. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Srinivas, S.; Bhatnagar, A.K. [Univ. of Hyderabad (India); Pinto, R. [Solid State Electronics Group, Bombay (India)] [and others

    1994-12-31

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si<100>, Sapphire and LaAlO{sub 3} <100> substrates. The effect of substrate temperatures upto 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar structure with variation growth conditions. The buffer layers of YSZ and STO showed orientation. The tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa{sub 2}Cu{sub 9}O{sub 7-x} (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  14. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam [School of Physics, University of Hyderabad, Hyderabad-500046 (India); Madhurima, V., E-mail: madhurima.v@gmail.com [Department of Physics, Central University of Tamil Nadu, Thiruvarur-610004 (India)

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  15. Aggregation and adhesion of gold nanoparticles in phosphate buffered saline

    Energy Technology Data Exchange (ETDEWEB)

    Du Shangfeng, E-mail: s.du@bham.ac.uk; Kendall, Kevin; Toloueinia, Panteha; Mehrabadi, Yasamin; Gupta, Gaurav; Newton, Jill [University of Birmingham, School of Chemical Engineering (United Kingdom)

    2012-03-15

    In applications in medicine and more specifically drug delivery, the dispersion stability of nanoparticles plays a significant role on their final performances. In this study, with the use of two laser technologies, dynamic light scattering (DLS) and nanoparticle tracking analysis (NTA), we report a simple method to estimate the stability of nanoparticles dispersed in phosphate buffered saline (PBS). Stability has two features: (1) self-aggregation as the particles tend to stick to each other; (2) disappearance of particles as they adhere to surrounding substrate surfaces such as glass, metal, or polymer. By investigating the effects of sonication treatment and surface modification by five types of surfactants, including nonylphenol ethoxylate (NP9), polyvinyl pyrrolidone (PVP), human serum albumin (HSA), sodium dodecyl sulfate (SDS) and citrate ions on the dispersion stability, the varying self-aggregation and adhesion of gold nanoparticles dispersed in PBS are demonstrated. The results showed that PVP effectively prevented aggregation, while HSA exhibited the best performance in avoiding the adhesion of gold nanoparticle in PBS onto glass and metal. The simple principle of this method makes it a high potential to be applied to other nanoparticles, including virus particles, used in dispersing and processing.

  16. The effect of Cr buffer layer thickness on voltage generation of thin-film thermoelectric modules

    International Nuclear Information System (INIS)

    Mizoshiri, Mizue; Mikami, Masashi; Ozaki, Kimihiro

    2013-01-01

    The effect of Cr buffer layer thickness on the open-circuit voltage generated by thin-film thermoelectric modules of Bi 0.5 Sb 1.5 Te 3 (p-type) and Bi 2 Te 2.7 Se 0.3 (n-type) materials was investigated. A Cr buffer layer, whose thickness generally needs to be optimized to improve adhesion depending on the substrate surface condition, such as roughness, was deposited between thermoelectric thin films and glass substrates. When the Cr buffer layer was 1 nm thick, the Seebeck coefficients and electrical conductivity of 1 µm thermoelectric thin films with the buffer layers were approximately equal to those of the thermoelectric films without the buffer layers. When the thickness of the Cr buffer layer was 1 µm, the same as the thermoelectric films, the Seebeck coefficients of the bilayer films were reduced by an electrical current flowing inside the Cr buffer layer and the generation of Cr 2 Te 3 . The open-circuit voltage of the thin-film thermoelectric modules decreased with an increase in the thickness of the Cr buffer layer, which was primarily induced by the electrical current flow. The reduction caused by the Cr 2 Te 3 generation was less than 10% of the total voltage generation of the modules without the Cr buffer layers. The voltage generation of thin-film thermoelectric modules could be controlled by the Cr buffer layer thickness. (paper)

  17. Preparation of SmBiO{sub 3} buffer layer on YSZ substrate by an improved chemical solution deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaolei [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Pu, Minghua, E-mail: mhpu@home.swjtu.edu.cn [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, NSW 2052 (Australia)

    2016-12-15

    Highlights: • The proper conditions for SBO growth are 794 °C for 60 min in flowing Ar gas, the temperature of epitaxial growth is relatively low. • The total time by SSD technique for organic solvent removing, salts decomposition and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. • SBO layer on YSZ prepared by SSD technique are suitable for the growth of YBCO, The results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes. - Abstract: A quick route for chemical solution deposition (CSD) has been developed to prepare SmBiO{sub 3} (SBO) layers on yttria stabilized zirconia (YSZ) substrates rapidly by using of solid state decomposition (SSD) technique. The proper conditions for volatilization of lactic acid, which as solvent in precursor coated layer, and SBO growth are 115°C for 30 min and 794°C for 60 min in flowing Ar gas. The coated layers are amorphous structure of mixture oxides and quasi-crystal structure of SBO before and after growth, respectively. The total time by this quick CSD route for organic solvent volatilization, salts decomposed and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. SBO layer is directly epitaxial growth on YSZ substrate without any lattice rotation. SBO layer prepared by this quick route as well as that by traditional route are suitable for the growth of YBCO. The superconducting transition temperature and critical current density of the coated YBCO layer on SBO/YSZ obtained by this quick route are up to 90 K and 1.66 MA/cm{sup 2}. These results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes.

  18. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  19. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  20. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  1. Covalent functionalization of carbon nanotube forests grown in situ on a metal-silicon chip

    KAUST Repository

    Johansson, Johan R.

    2012-03-12

    We report on the successful covalent functionalization of carbon nanotube (CNT) forests, in situ grown on a silicon chip with thin metal contact film as the buffer layer between the CNT forests and the substrate. The CNT forests were successfully functionalized with active amine and azide groups, which can be used for further chemical reactions. The morphology of the CNT forests was maintained after the functionalization. We thus provide a promising foundation for a miniaturized biosensor arrays system that can be easily integrated with Complementary Metal-Oxide Semiconductor (CMOS) technology.

  2. Covalent functionalization of carbon nanotube forests grown in situ on a metal-silicon chip

    KAUST Repository

    Johansson, Johan R.; Bosaeus, Niklas; Kann, Nina; Å kerman, Bjö rn; Nordé n, Bengt; Khalid, Waqas

    2012-01-01

    We report on the successful covalent functionalization of carbon nanotube (CNT) forests, in situ grown on a silicon chip with thin metal contact film as the buffer layer between the CNT forests and the substrate. The CNT forests were successfully functionalized with active amine and azide groups, which can be used for further chemical reactions. The morphology of the CNT forests was maintained after the functionalization. We thus provide a promising foundation for a miniaturized biosensor arrays system that can be easily integrated with Complementary Metal-Oxide Semiconductor (CMOS) technology.

  3. Influence of chemical pretreatment of hard metal substrates for diamond deposition

    International Nuclear Information System (INIS)

    Buck, V.; Kluwe, H.; Schmiler, B.; Deuerler, F.

    2001-01-01

    Diamond coated cutting tools are of increasing importance in the fields of high speed cutting, dry machining or machining of special materials such as metal-matrix-composites. A well known problem is the poor adhesion of diamond films on hard metals due to the Co- or Ni-binder that catalyzes the formation of graphite. Several methods - such as the application of intermediate layers or mechanical or chemical pretreatment of the hard metal substrate - have been developed to overcome this effect. Usually chemical pretreatment is used in order to reduce the concentration of binder phase on the surface that is to be coated. Surprisingly pretreatment with agents such as Murakami's solution result in improved adhesion and nucleation of diamond films while the concentration of the binder phase on the surface is enhanced. This 'contradiction' can be explained by proving that the surface is converted into a very thin oxide/hydroxide film. (author)

  4. Surface properties of Co-doped BaFe{sub 2}As{sub 2} thin films deposited on MgO with Fe buffer layer and CaF{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sobota, R. [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia); Plecenik, T., E-mail: tomas.plecenik@fmph.uniba.sk [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia); Gregor, M.; Truchly, M.; Satrapinskyy, L.; Vidis, M.; Secianska, K. [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia); Kurth, F.; Holzapfel, B.; Iida, K. [Institute for Metallic Materials, IFW Dresden, PO Box 270116, D-01171 Dresden (Germany); Kus, P.; Plecenik, A. [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia)

    2014-09-01

    Highlights: • Surfaces of Co-doped Ba-122 films on various substrates were studied. • Substrate influences topography and surface conductivity distribution of the films. • Surface conductivity of Co-doped Ba-122 is highly inhomogeneous. • Point contact spectroscopy results can be affected by the surface differences. - Abstract: Surface properties of Co-doped BaFe{sub 2}As{sub 2} (Ba-122) thin films prepared by pulsed laser deposition on MgO with Fe buffer layer and CaF{sub 2} substrates were inspected by atomic force microscopy, scanning spreading resistance microscopy, scanning tunneling microscopy, X-ray photoelectron spectroscopy, auger electron spectroscopy/microscopy and point contact spectroscopy (PCS). Selected PCS spectra were fitted by extended 1D BTK model. The measurements were done on as-received as well as ion beam etched surfaces. Our results show that the substrate is considerably influencing the surface properties of the films, particularly the topography and surface conductivity distribution, what can affect results obtained by surface-sensitive techniques like PCS.

  5. Electrode interface controlled electrical properties in epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown on Si substrates with SrTiO{sub 3} buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Boni, Andra Georgia, E-mail: andra.boni@infim.ro [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); University of Bucharest, Faculty of Physics, Magurele 077125 (Romania); Chirila, Cristina; Pasuk, Iuliana; Negrea, Raluca; Trupina, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); Le Rhun, Gwenael [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Vilquin, Bertrand [Université de Lyon, Ecole Centrale de Lyon, INL, CNRS UMR5270, 36 avenue Guy de Collongue, F-69134 Ecully cedex (France); Pintilie, Ioana; Pintilie, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania)

    2015-10-30

    Electrical properties of ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} thin films grown by pulsed laser deposition on silicon substrate with SrTiO{sub 3} buffer layer grown by molecular beam epitaxy were studied. A SrRuO{sub 3} layer was deposited as bottom electrode also by pulse laser deposition and Pt, Ir, Ru, SrRuO{sub 3} were used as top contacts. Electrical characterization comprised hysteresis and capacitance–voltage measurements in the temperature range from 150 K to 400 K. It was found that the macroscopic electrical properties are affected by the electrode interface, by the choice of the top electrode. However, even for metals with very different work functions (e.g. Pt and SrRuO{sub 3}) the properties of the top and bottom electrode interfaces remain fairly symmetric suggesting a strong influence from the bound polarization charges located near the interface. - Highlights: • Ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} were deposited on Si substrate. • The structural characterization proved the epitaxial growth of the layers. • Macroscopic electrical properties are affected by the choice of the top electrode. • The difference on imprint field, dielectric constant are analyzed depending on the electrode-ferroelectric interface.

  6. Fabrication and Evaluation of One-Axis Oriented Lead Zirconate Titanate Films Using Metal-Oxide Nanosheet Interface Layer

    Science.gov (United States)

    Minemura, Yoshiki; Nagasaka, Kohei; Kiguchi, Takanori; Konno, Toyohiko J.; Funakubo, Hiroshi; Uchida, Hiroshi

    2013-09-01

    Nanosheet Ca2Nb3O20 (ns-CN) layers with pseudo-perovskite-type crystal configuration were applied on the surface of polycrystalline metal substrates to achieve preferential crystal orientation of Pb(Zr,Ti)O3 (PZT) films for the purpose of enhanced ferroelectricity comparable to that of epitaxial thin films. PZT films with tetragonal symmetry (Zr/Ti=0.40:0.60) were fabricated by chemical solution deposition (CSD) on ns-CN-buffered Inconel 625 and SUS 316L substrates, while ns-CN was applied on the the substrates by dip-coating. The preferential crystal growth on the ns-CN layer can be achieved by favorable lattice matching between (001)/(100)PZT and (001)ns-CN planes. The degree of (001) orientation was increased for PZT films on ns-CN/Inconel 625 and ns-CN/SUS 316L substrates, whereas randomly-oriented PZT films with a lower degree of (001) orientation were grown on bare and Inconel 625 films. Enhanced remanent polarization of 60 µC/cm2 was confirmed for the PZT films on ns-CN/metal substrates, ascribed to the preferential alignment of the polar [001] axis normal to the substrate surface, although it also suffered from higher coercive field above 500 kV/cm caused by PZT/metal interfacial reaction.

  7. Buffer capacity of biologics--from buffer salts to buffering by antibodies.

    Science.gov (United States)

    Karow, Anne R; Bahrenburg, Sven; Garidel, Patrick

    2013-01-01

    Controlling pH is essential for a variety of biopharmaceutical process steps. The chemical stability of biologics such as monoclonal antibodies is pH-dependent and slightly acidic conditions are favorable for stability in a number of cases. Since control of pH is widely provided by added buffer salts, the current study summarizes the buffer characteristics of acetate, citrate, histidine, succinate, and phosphate buffers. Experimentally derived values largely coincide with values calculated from a model that had been proposed in 1922 by van Slyke. As high concentrated protein formulations become more and more prevalent for biologics, the self-buffering potential of proteins becomes of relevance. The current study provides information on buffer characteristics for pH ranges down to 4.0 and up to 8.0 and shows that a monoclonal antibody at 50 mg/mL exhibits similar buffer capacity as 6 mM citrate or 14 mM histidine (pH 5.0-6.0). Buffer capacity of antibody solutions scales linearly with protein concentration up to more than 200 mg/mL. At a protein concentration of 220 mg/mL, the buffer capacity resembles the buffer capacity of 30 mM citrate or 50 mM histidine (pH 5.0-6.0). The buffer capacity of monoclonal antibodies is practically identical at the process relevant temperatures 5, 25, and 40°C. Changes in ionic strength of ΔI=0.15, in contrast, can alter the buffer capacity up to 35%. In conclusion, due to efficient self-buffering by antibodies in the pH range of favored chemical stability, conventional buffer excipients could be dispensable for pH stabilization of high concentrated protein solutions. Copyright © 2013 American Institute of Chemical Engineers.

  8. Study of Ni2-Mn-Ga phase formation by magnetron sputtering film deposition at low temperature onto Si substrates and LaNiO3/Pb(Ti,Zr)O3 buffer

    International Nuclear Information System (INIS)

    Figueiras, F.; Rauwel, E.; Amaral, V. S.; Vyshatko, N.; Kholkin, A. L.; Soyer, C.; Remiens, D.; Shvartsman, V. V.; Borisov, P.; Kleemann, W.

    2010-01-01

    Film deposition of Ni 2 MnGa phaselike alloy by radio frequency (rf) magnetron sputtering was performed onto bare Si(100) substrates and LaNiO 3 /Pb(Ti,Zr)O 3 (LNO/PZT) ferroelectric buffer layer near room temperature. The prepared samples were characterized using conventional x-ray diffraction (XRD), superconducting quantum interference device, and electron dispersive x-ray spectroscopy from scanning electron microscope observations. The optimized films deposited under high rf power and low argon pressure present good surface quality and highly textured phase crystallization. The positioning distance between the substrate and the target-holder axis has some limited effect on the film's composition due to the specific diffusion behavior of each element in the sputtering plasma. Extended four pole high resolution XRD analysis allowed one to discriminate the intended Ni-Mn-Ga tetragonal martensitic phase induced by the (100) LNO/PZT oriented buffer. This low temperature process appears to be very promising, allowing separate control of the functional layer's properties, while trying to achieve high electromagnetoelastic coupling.

  9. Structural and morphological properties of GaN buffer layers grown by ammonia molecular beam epitaxy on SiC substrates for AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Corrion, A. L.; Poblenz, C.; Wu, F.; Speck, J. S.

    2008-01-01

    The impact of growth conditions on the surface morphology and structural properties of ammonia molecular beam epitaxy GaN buffers layers on SiC substrates was investigated. The threading dislocation (TD) density was found to decrease with decreasing NH 3 :Ga flux ratio, which corresponded to an increase in surface roughness and reduction in residual compressive lattice mismatch stress. Furthermore, the dislocation density and compressive stress decreased for increasing buffer thickness. TD inclination was proposed to account for these observations. Optimized surface morphologies were realized at high NH 3 :Ga flux ratios and were characterized by monolayer-high steps, spiral hillocks, and pyramidal mounds, with rms roughness of ∼1.0 nm over 2x2 μm 2 atomic force microscopy images. Smooth surface morphologies were realized over a large range of growth temperatures and fluxes, and growth rates of up to 1 μm/h were achieved. TD densities in the buffers as low as 3x10 9 cm -2 were demonstrated. These buffers were highly insulating and were used in recently reported AlGaN/GaN HEMTs with power densities of >11 W/mm at 4 and 10 GHz

  10. Metal Nanoparticles Deposited on Porous Silicon Templates as Novel Substrates for SERS

    Directory of Open Access Journals (Sweden)

    Lara Mikac

    2015-12-01

    Full Text Available In this paper, results on preparation of stable and uniform SERS solid substrates using macroporous silicon (pSi with deposited silver and gold are presented. Macroporous silicon is produced by anodisation of p-type silicon in hydrofluoric acid. The as prepared pSi is then used as a template for Ag and Au depositions. The noble metals were deposited in three different ways: by immersion in silver nitrate solution, by drop-casting silver colloidal solution and by pulsed laser ablation (PLA. Substrates obtained by different deposition processes were evaluated for SERS efficiency using methylene blue (MB and rhodamine 6G (R6G at 514.5, 633 and 785 nm. Using 514.5 nm excitation and R6G the limits of detection (LOD for macroporous Si samples with noble metal nanostructures obtained by immersion of pSi sample in silver nitrate solution and by applying silver colloidal solution to pSi template were 10–9 M and 10–8 M respectively. Using 633 nm laser and MB the most noticeable SERS activity gave pSi samples ablated with 30000 and 45000 laser pulses where the LODs of 10–10 M were obtained. The detection limit of 10–10 M was also reached for 4 mA cm–2-15 min pSi sample, silver ablated with 30000 pulses. Macroporous silicon proved to be a good base for the preparation of SERS substrates.

  11. Metallization and biopatterning on ultra-flexible substrates via dextran sacrificial layers.

    Directory of Open Access Journals (Sweden)

    Peter Tseng

    Full Text Available Micro-patterning tools adopted from the semiconductor industry have mostly been optimized to pattern features onto rigid silicon and glass substrates, however, recently the need to pattern on soft substrates has been identified in simulating cellular environments or developing flexible biosensors. We present a simple method of introducing a variety of patterned materials and structures into ultra-flexible polydimethylsiloxane (PDMS layers (elastic moduli down to 3 kPa utilizing water-soluble dextran sacrificial thin films. Dextran films provided a stable template for photolithography, metal deposition, particle adsorption, and protein stamping. These materials and structures (including dextran itself were then readily transferrable to an elastomer surface following PDMS (10 to 70∶1 base to crosslinker ratios curing over the patterned dextran layer and after sacrificial etch of the dextran in water. We demonstrate that this simple and straightforward approach can controllably manipulate surface wetting and protein adsorption characteristics of PDMS, covalently link protein patterns for stable cell patterning, generate composite structures of epoxy or particles for study of cell mechanical response, and stably integrate certain metals with use of vinyl molecular adhesives. This method is compatible over the complete moduli range of PDMS, and potentially generalizable over a host of additional micro- and nano-structures and materials.

  12. Metallization and Biopatterning on Ultra-Flexible Substrates via Dextran Sacrificial Layers

    Science.gov (United States)

    Tseng, Peter; Pushkarsky, Ivan; Di Carlo, Dino

    2014-01-01

    Micro-patterning tools adopted from the semiconductor industry have mostly been optimized to pattern features onto rigid silicon and glass substrates, however, recently the need to pattern on soft substrates has been identified in simulating cellular environments or developing flexible biosensors. We present a simple method of introducing a variety of patterned materials and structures into ultra-flexible polydimethylsiloxane (PDMS) layers (elastic moduli down to 3 kPa) utilizing water-soluble dextran sacrificial thin films. Dextran films provided a stable template for photolithography, metal deposition, particle adsorption, and protein stamping. These materials and structures (including dextran itself) were then readily transferrable to an elastomer surface following PDMS (10 to 70∶1 base to crosslinker ratios) curing over the patterned dextran layer and after sacrificial etch of the dextran in water. We demonstrate that this simple and straightforward approach can controllably manipulate surface wetting and protein adsorption characteristics of PDMS, covalently link protein patterns for stable cell patterning, generate composite structures of epoxy or particles for study of cell mechanical response, and stably integrate certain metals with use of vinyl molecular adhesives. This method is compatible over the complete moduli range of PDMS, and potentially generalizable over a host of additional micro- and nano-structures and materials. PMID:25153326

  13. Ab initio investigations of magnetic properties of ultrathin transition-metal films on 4d substrates

    Energy Technology Data Exchange (ETDEWEB)

    Al-Zubi, Ali

    2010-12-22

    In this thesis, we investigate the magnetic properties of 3d transition-metal monolayers on 4d transition-metal substrates by means of state of the art first-principles quantum theory. In order to reveal the underlying physics of these systems we study trends by performing systematic investigations across the transition-metal series. Case studies are presented for which Rh has been chosen as exemplary 4d substrate. We consider two substrate orientations, a square lattice provided by Rh(001) and a hexagonal lattice provided by Rh(111). We find, all 3d transition-metal (V, Cr, Mn, Fe, Co and Ni) monolayers deposited on the Rh substrate are magnetic and exhibit large local moments which follow Hund's rule with a maximum magnetic moment for Mn of about 3.7 {mu}{sub B} depending on the substrate orientation. The largest induced magnetic moment of about 0.46 {mu}{sub B} is found for Rh atoms adjacent to the Co(001)-film. On Rh(001) we predict a ferromagnetic (FM) ground state for V, Co and Ni, while Cr, Mn and Fe monolayers favor a c(2 x 2) antiferromagnetic (AFM) state, a checkerboard arrangement of up and down magnetic moments. The magnetic anisotropy energies of these ultrathin magnetic films are calculated for the FM and the AFM states. With the exception of V and Cr, the easy axis of the magnetization is predicted to be in the film plane. With the exception of Fe, analogous results are obtained for the 3d-metal monolayers on Rh(111). For Fe on Rh(111) a novel magnetic ground state is predicted, a double-row-wise antiferromagnetic state along the [11 anti 2] direction, a sequence of ferromagnetic double-rows of atoms, whose magnetic moments couple antiferromagnetically from double row to double row. The magnetic structure can be understood as superposition of a left- and right-rotating flat spin spiral. In a second set of case studies the properties of an Fe monolayer deposited on varies hexagonally terminated hcp (0001) and fcc (111) surfaces of 4d

  14. Ab initio investigations of magnetic properties of ultrathin transition-metal films on 4d substrates

    International Nuclear Information System (INIS)

    Al-Zubi, Ali

    2010-01-01

    In this thesis, we investigate the magnetic properties of 3d transition-metal monolayers on 4d transition-metal substrates by means of state of the art first-principles quantum theory. In order to reveal the underlying physics of these systems we study trends by performing systematic investigations across the transition-metal series. Case studies are presented for which Rh has been chosen as exemplary 4d substrate. We consider two substrate orientations, a square lattice provided by Rh(001) and a hexagonal lattice provided by Rh(111). We find, all 3d transition-metal (V, Cr, Mn, Fe, Co and Ni) monolayers deposited on the Rh substrate are magnetic and exhibit large local moments which follow Hund's rule with a maximum magnetic moment for Mn of about 3.7 μ B depending on the substrate orientation. The largest induced magnetic moment of about 0.46 μ B is found for Rh atoms adjacent to the Co(001)-film. On Rh(001) we predict a ferromagnetic (FM) ground state for V, Co and Ni, while Cr, Mn and Fe monolayers favor a c(2 x 2) antiferromagnetic (AFM) state, a checkerboard arrangement of up and down magnetic moments. The magnetic anisotropy energies of these ultrathin magnetic films are calculated for the FM and the AFM states. With the exception of V and Cr, the easy axis of the magnetization is predicted to be in the film plane. With the exception of Fe, analogous results are obtained for the 3d-metal monolayers on Rh(111). For Fe on Rh(111) a novel magnetic ground state is predicted, a double-row-wise antiferromagnetic state along the [11 anti 2] direction, a sequence of ferromagnetic double-rows of atoms, whose magnetic moments couple antiferromagnetically from double row to double row. The magnetic structure can be understood as superposition of a left- and right-rotating flat spin spiral. In a second set of case studies the properties of an Fe monolayer deposited on varies hexagonally terminated hcp (0001) and fcc (111) surfaces of 4d-transition metals (Tc, Ru, Rh

  15. Ab initio investigations of magnetic properties of ultrathin transition-metal films on 4d substrates

    Energy Technology Data Exchange (ETDEWEB)

    Al-Zubi, Ali

    2010-12-22

    In this thesis, we investigate the magnetic properties of 3d transition-metal monolayers on 4d transition-metal substrates by means of state of the art first-principles quantum theory. In order to reveal the underlying physics of these systems we study trends by performing systematic investigations across the transition-metal series. Case studies are presented for which Rh has been chosen as exemplary 4d substrate. We consider two substrate orientations, a square lattice provided by Rh(001) and a hexagonal lattice provided by Rh(111). We find, all 3d transition-metal (V, Cr, Mn, Fe, Co and Ni) monolayers deposited on the Rh substrate are magnetic and exhibit large local moments which follow Hund's rule with a maximum magnetic moment for Mn of about 3.7 {mu}{sub B} depending on the substrate orientation. The largest induced magnetic moment of about 0.46 {mu}{sub B} is found for Rh atoms adjacent to the Co(001)-film. On Rh(001) we predict a ferromagnetic (FM) ground state for V, Co and Ni, while Cr, Mn and Fe monolayers favor a c(2 x 2) antiferromagnetic (AFM) state, a checkerboard arrangement of up and down magnetic moments. The magnetic anisotropy energies of these ultrathin magnetic films are calculated for the FM and the AFM states. With the exception of V and Cr, the easy axis of the magnetization is predicted to be in the film plane. With the exception of Fe, analogous results are obtained for the 3d-metal monolayers on Rh(111). For Fe on Rh(111) a novel magnetic ground state is predicted, a double-row-wise antiferromagnetic state along the [11 anti 2] direction, a sequence of ferromagnetic double-rows of atoms, whose magnetic moments couple antiferromagnetically from double row to double row. The magnetic structure can be understood as superposition of a left- and right-rotating flat spin spiral. In a second set of case studies the properties of an Fe monolayer deposited on varies hexagonally terminated hcp (0001) and fcc (111) surfaces of 4d-transition metals

  16. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  17. Adherence of diamond films on refractory metal substrates for thermionic applications

    International Nuclear Information System (INIS)

    Tsao, B.H.; Ramalingam, M.L.; Adams, S.F.; Cloyd, J.S.

    1991-01-01

    Diamond films are currently being considered as electrical insulation material for application in the thermionic fuel element of a power producing nuclear reactor system. The function of the diamond insulator in this application is to electrically isolate the collector of each cell in the TFE from the coolant and outer sheath. Deposition of diamond films on plane surfaces of Si/SiO 2 have already been demonstrated to be quite effective. However, the diamond films on refractory metal surfaces tend to spall off in the process of deposition revealing an inefficient adherence characteristic between the film and the substrate. This paper is geared towards explaining this deficiency by way of selected experimentation and the use of analytical tools to predict uncertainties such as the mismatch in coefficient of expansion, micrographic study of the interface between the film and the substrate and X-ray diffraction spectra. The investigation of the adherence characteristics of several diamond films on Mo and Nb substrates revealed that there was an allowable stress that resulted in the formation of the critical thickness for the diamond film

  18. Heuristics for the Buffer Allocation Problem with Collision Probability Using Computer Simulation

    Directory of Open Access Journals (Sweden)

    Eishi Chiba

    2015-01-01

    Full Text Available The standard manufacturing system for Flat Panel Displays (FPDs consists of a number of pieces of equipment in series. Each piece of equipment usually has a number of buffers to prevent collision between glass substrates. However, in reality, very few of these buffers seem to be used. This means that redundant buffers exist. In order to reduce cost and space necessary for manufacturing, the number of buffers should be minimized with consideration of possible collisions. In this paper, we focus on an in-line system in which each piece of equipment can have any number of buffers. In this in-line system, we present a computer simulation method for the computation of the probability of a collision occurring. Based on this method, we try to find a buffer allocation that achieves the smallest total number of buffers under an arbitrarily specified collision probability. We also implement our proposed method and present some computational results.

  19. Effect of template post-annealing on Y(Dy)BaCuO nucleation on CeO2 buffered metallic tapes

    Science.gov (United States)

    Hu, Xuefeng; Zhong, Yun; Zhong, Huaxiao; Fan, Feng; Sang, Lina; Li, Mengyao; Fang, Qiang; Zheng, Jiahui; Song, Haoyu; Lu, Yuming; Liu, Zhiyong; Bai, Chuanyi; Guo, Yanqun; Cai, Chuanbing

    2017-08-01

    Substrate engineering is very significant in the synthesis of the high-temperature superconductor (HTS) coated conductor. Here we design and synthesize several distinct and stable Cerium oxide (CeO2) surface reconstructions which are used to grow epitaxial films of the HTS YBa2Cu3O7-δ (YBCO). To identify the influence of annealing and post-annealing surroundings on the nature of nucleation centers, including Ar/5%H2, humid Ar/5%H2 and O2 in high temperature annealing process, we study the well-controlled structure, surface morphology, crystal constants and surface redox processes of the ceria buffers by using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and field-emission scanning electronic microscopy (FE-SEM), respectively. The ceria film post-annealed under humid Ar/5%H2 gas shows the best buffer layer properties. Furthermore, the film absorbs more oxygen ions, which appears to contribute to oxygenation of superconductor film. The film is well-suited for ceria model studies as well as a perfect substitute for CeO2 bulk material.

  20. Photovoltaic properties of in-doped CDTE thin films deposited on metallic substrates

    International Nuclear Information System (INIS)

    Wagah F Mohamad; Khalid K Mohammed

    2006-01-01

    CDTE is a promising photovoltaic material due to its nearly optimum band gap and high optical absorption coefficient. This study looks into the effect of indium doping of the CdTe thin film deposited on stainless steel substrate. The conventional cells are usually manufactured on glass substrate and offer no weight advantage over single crystal cells. Since the metal foil support can be as thin as (40-60) μm and the weight saving is significant. The spectral response of the photo current with and without indium doping was studied in detail and compared with theory. The sub gap response of the resulted structure is particularly strong and extends to wavelengths up to 1000 nm

  1. Metallic behavior and enhanced adsorption energy of graphene on BN layer induced by Cu(111) substrate

    International Nuclear Information System (INIS)

    Hashmi, Arqum; Hong, Jisang

    2014-01-01

    We have investigated the adsorption properties and the electronic structure of graphene/BN and graphene/BN/Cu(111) systems by using van der Waals density functional theory. The ground-state adsorption site of graphene on BN/Cu(111) is found to be the same as that of graphene/BN. The Cu(111) substrate did not induce a significant change in the geometrical feature of graphene/BN. However, the adsorption energy of graphene on BN/Cu(111) is observed to be enhanced due to the Cu(111) substrate. In addition, we have found that the graphene layer displays a weak metallic character in graphene/BN/Cu(111) whereas an energy band gap is observed in the graphene in the graphene/BN bilayer system. Therefore, we have found that the metallic Cu(111) substrate affects the electronic structure and adsorption properties of graphene on BN/Cu(111), although it has no significant effect on the geometrical features.

  2. Universal buffers for use in biochemistry and biophysical experiments

    Directory of Open Access Journals (Sweden)

    Dewey Brooke

    2015-08-01

    Full Text Available The use of buffers that mimic biological solutions is a foundation of biochemical and biophysical studies. However, buffering agents have both specific and nonspecific interactions with proteins. Buffer molecules can induce changes in conformational equilibria, dynamic behavior, and catalytic properties merely by their presence in solution. This effect is of concern because many of the standard experiments used to investigate protein structure and function involve changing solution conditions such as pH and/or temperature. In experiments in which pH is varied, it is common practice to switch buffering agents so that the pH is within the working range of the weak acid and conjugate base. If multiple buffers are used, it is not always possible to decouple buffer induced change from pH or temperature induced change. We have developed a series of mixed biological buffers for protein analysis that can be used across a broad pH range, are compatible with biologically relevant metal ions, and avoid complications that may arise from changing the small molecule composition of buffers when pH is used as an experimental variable.

  3. Synergistic effects of semiconductor substrate and noble metal nano-particles on SERS effect both theoretical and experimental aspects

    Science.gov (United States)

    Yang, Chen; Liang, Pei; Tang, Lisha; Zhou, Yongfeng; Cao, Yanting; Wu, Yanxiong; Zhang, De; Dong, Qianmin; Huang, Jie; He, Peng

    2018-04-01

    As a means of chemical identification and analysis, Surface enhanced Raman spectroscopy (SERS), with the advantages of high sensitivity and selectivity, non-destructive, high repeatability and in situ detection etc., has important significance in the field of composition detection, environmental science, biological medicine etc. Physical model of coupling effect between different semiconductor substrates and noble metal particles were investigated by using 3D-FDTD method. Mechanism and the effects of excitation wavelength, particle spacing and semiconductor substrate types on the SERS effect were discussed. The results showed that the optimal excitation wavelengths of three noble metals of Ag, Au, Cu, were located at 510, 600 and 630 nm, respectively; SERS effect of Ag, Au, Cu increases with the decreasing of the inter distance of particles, while the distance of the NPs reaches the critical value of 3 nm, the strength of SERS effect will be greatly enhanced. For the four different types of substrate of Ge, Si, SiO2 (glass) and Al2O3, the SERS effect of Ag on SiO2 > Ge > Al2O3 > Si. For Au and Cu nanoparticles, the SERS effect of them on oxide substrate is stronger than that on non-oxide substrate. In order to verify FDTD simulations, taking silver nanoparticles as an example, and silver nanoparticles prepared by chemical method were spinning coating on the four different substrates with R6G as probe molecules. The results show that the experimental results are consistent with FDTD theoretical simulations, and the SERS enhancement effect of Ag-SiO2 substrate is best. The results of this study have important theoretical significance to explain the variations of SERS enhancement on different noble metals, which is also an important guide for the preparation of SERS substrates, especially for the microfluidics. The better Raman effect can be realized by choosing proper substrate type, particle spacing and excitation wavelength, result in expanding the depth and width

  4. PLZT capacitor on glass substrate

    Science.gov (United States)

    Fairchild, M. Ray; Taylor, Ralph S.; Berlin, Carl W.; Wong, Celine W. K.; Ma, Beihai; Balachandran, Uthamalingam

    2016-01-05

    A lead-lanthanum-zirconium-titanate (PLZT) capacitor on a substrate formed of glass. The first metallization layer is deposited on a top side of the substrate to form a first electrode. The dielectric layer of PLZT is deposited over the first metallization layer. The second metallization layer deposited over the dielectric layer to form a second electrode. The glass substrate is advantageous as glass is compatible with an annealing process used to form the capacitor.

  5. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  6. Non-agglomerated silicon nanoparticles on (0 0 1) silicon substrate formed by PLA and their photoluminescence properties

    International Nuclear Information System (INIS)

    Du Jun; Tu Hailing; Wang Lei

    2009-01-01

    In this work, non-agglomerated silicon nanoparticles formed on Si(0 0 1) substrate were synthesized by pulsed laser ablation (PLA) and their photoluminescence (PL) properties were studied. The controllable parameters in PLA process include mainly pulsed laser energy, target-to-substrate distance and buffer gas pressure. In particular, the effect of buffer gas pressure on the formation of non-agglomerated and size-controlled silicon nanoparticles has been discussed. The results show that non-agglomerated and size-controlled silicon nanoparticles can be fabricated with particle size in the range of 2-10 nm when Ar buffer gas pressure was varied from 50 to 10 Pa. Most of these nanoparticles are in form of single crystal with less surface oxidation in the as-deposited samples. The PL peak positions are located at 581-615 nm for Si nanoparticles with size of 2-10 nm. When exposed to air for up to 60 days, the core/shell structure of Si nanoparticles would be formed, which in turn could be responsible for the blue shift of PL peak position. Pt noble metal coating has passivation effect for surface stabilization of Si nanoparticles and shows relatively satisfied time-stability of PL intensity. These results suggest that the Si nanoparticles prepared by PLA have a large potential for the fabrication of optically active photonic devices based on the Si technology.

  7. Fabrication of nano-sized metal patterns on flexible polyethylene-terephthalate substrate using bi-layer nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Seon Yong; Jung, Ho Yong [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jeong, Jun-Ho [Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Yuseong-gu Daejeon, 305-343 (Korea, Republic of); Lee, Heon, E-mail: heonlee@korea.ac.k [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2009-05-29

    Polymer films are widely used as a substrate for displays and for solar cells since they are cheap, transparent and flexible, and their material properties are easy to design. Polyethylene-terephthalate (PET) is especially useful for various applications requiring transparency, flexibility and good thermal and chemical resistance. In this study, nano-sized metal patterns were fabricated on flexible PET film by using nanoimprint lithography (NIL). Water-soluble poly-vinyl alcohol (PVA) resin was used as a planarization and sacrificial layer for the lift-off process, as it does not damage the PET films and can easily be etched off by using oxygen plasma. NIL was used to fabricate the nano-sized patterns on the non-planar or flexible substrate. Finally, a nano-sized metal pattern was successfully formed by depositing the metal layer over the imprinted resist patterns and applying the lift-off process, which is economic and environmentally friendly, to the PET films.

  8. The effect of the MgO buffer layer thickness on magnetic anisotropy in MgO/Fe/Cr/MgO buffer/MgO(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kozioł-Rachwał, Anna, E-mail: a.koziolrachwal@aist.go.jp [National Institute of Advanced Industrial Science and Technology, Spintronics Research Center, Tsukuba, Ibaraki 305-8568 (Japan); AGH University of Science and Technology, Faculty of Physics and Applied Computer Science, al. Mickiewicza 30, 30-059 Kraków (Poland); Nozaki, Takayuki; Zayets, Vadym; Kubota, Hitoshi; Fukushima, Akio; Yuasa, Shinji [National Institute of Advanced Industrial Science and Technology, Spintronics Research Center, Tsukuba, Ibaraki 305-8568 (Japan); Suzuki, Yoshishige [National Institute of Advanced Industrial Science and Technology, Spintronics Research Center, Tsukuba, Ibaraki 305-8568 (Japan); Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan)

    2016-08-28

    The relationship between the magnetic properties and MgO buffer layer thickness d was studied in epitaxial MgO/Fe(t)/Cr/MgO(d) layers grown on MgO(001) substrate in which the Fe thickness t ranged from 0.4 nm to 1.1 nm. For 0.4 nm ≤ t ≤ 0.7 nm, a non-monotonic coercivity dependence on the MgO buffer thickness was shown by perpendicular magneto-optic Kerr effect magnetometry. For thicker Fe films, an increase in the buffer layer thickness resulted in a spin reorientation transition from perpendicular to the in-plane magnetization direction. Possible origins of these unusual behaviors were discussed in terms of the suppression of carbon contamination at the Fe surface and changes in the magnetoelastic anisotropy in the system. These results illustrate a method to control magnetic anisotropy in MgO/Fe/Cr/MgO(d) via an appropriate choice of MgO buffer layer thickness d.

  9. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  10. Insulator-metal transition in substrate-independent VO2 thin film for phase-change devices.

    Science.gov (United States)

    Taha, Mohammad; Walia, Sumeet; Ahmed, Taimur; Headland, Daniel; Withayachumnankul, Withawat; Sriram, Sharath; Bhaskaran, Madhu

    2017-12-20

    Vanadium has 11 oxide phases, with the binary VO 2 presenting stimuli-dependent phase transitions that manifest as switchable electronic and optical features. An elevated temperature induces an insulator-to-metal transition (IMT) as the crystal reorients from a monoclinic state (insulator) to a tetragonal arrangement (metallic). This transition is accompanied by a simultaneous change in optical properties making VO 2 a versatile optoelectronic material. However, its deployment in scalable devices suffers because of the requirement of specialised substrates to retain the functionality of the material. Sensitivity to oxygen concentration and larger-scale VO 2 synthesis have also been standing issues in VO 2 fabrication. Here, we address these major challenges in harnessing the functionality in VO 2 by demonstrating an approach that enables crystalline, switchable VO 2 on any substrate. Glass, silicon, and quartz are used as model platforms to show the effectiveness of the process. Temperature-dependent electrical and optical characterisation is used demonstrating three to four orders of magnitude in resistive switching, >60% chromic discrimination at infrared wavelengths, and terahertz property extraction. This capability will significantly broaden the horizon of applications that have been envisioned but remained unrealised due to the lack of ability to realise VO 2 on any substrate, thereby exploiting its untapped potential.

  11. Significant Improvement of Organic Thin-Film Transistor Mobility Utilizing an Organic Heterojunction Buffer Layer

    International Nuclear Information System (INIS)

    Pan Feng; Qian Xian-Rui; Huang Li-Zhen; Wang Hai-Bo; Yan Dong-Hang

    2011-01-01

    High-mobility vanadyl phthalocyanine (VOPc)/5,5‴-bis(4-fluorophenyl)-2,2':5',2″:5″,2‴-quaterthiophene (F2-P4T) thin-film transistors are demonstrated by employing a copper hexadecafluorophthalocyanine (F 16 CuPc)/copper phthalocyanine (CuPc) heterojunction unit, which are fabricated at different substrate temperatures, as a buffer layer. The highest mobility of 4.08cm 2 /Vs is achieved using a F 16 CuPc/CuPc organic heterojunction buffer layer fabricated at high substrate temperature. Compared with the random small grain-like morphology of the room-temperature buffer layer, the high-temperature organic heterojunction presents a large-sized fiber-like film morphology, resulting in an enhanced conductivity. Thus the contact resistance of the transistor is significantly reduced and an obvious improvement in device mobility is obtained. (cross-disciplinary physics and related areas of science and technology)

  12. Characteristics of indirect laser-induced plasma from a thin film of oil on a metallic substrate

    Science.gov (United States)

    Xiu, Jun-Shan; Bai, Xue-Shi; Motto-Ros, Vincent; Yu, Jin

    2015-04-01

    Optical emissions from the major and trace elements embodied in a transparent gel prepared from cooking oil were detected after the gel was spread in a thin film on a metallic substrate. Such emissions are due to the indirect breakdown of the coating layer. The generated plasma, a mixture of substances from the substrate, the layer, and the ambient gas, was characterized using emission spectroscopy. The characteristics of the plasma formed on the metal with and without the coating layer were investigated. The results showed that Al emission induced from the aluminum substrates coated with oil films extends away from the target surface to ablate the oil film. This finally formed a bifurcating circulation of aluminum vapor against a spherical confinement wall in the front of the plume, which differed from the evolution of the plasma induced from the uncoated aluminum target. The strongest emissions of elements from the oil films can be observed at 2 mm above the target after a detection delay of 1.0 μs. A high temperature zone has been observed in the plasma after the delay of 1.0 μs for the plasma induced from the coated metal. This higher temperature determined in the plasma allows the consideration of the sensitive detection of trace elements in liquids, gels, biological samples, or thin films.

  13. Flexible Metal Oxide/Graphene Oxide Hybrid Neuromorphic Devices on Flexible Conducting Graphene Substrates

    OpenAIRE

    Wan, Chang Jin; Wang, Wei; Zhu, Li Qiang; Liu, Yang Hui; Feng, Ping; Liu, Zhao Ping; Shi, Yi; Wan, Qing

    2016-01-01

    Flexible metal oxide/graphene oxide hybrid multi-gate neuron transistors were fabricated on flexible graphene substrates. Dendritic integrations in both spatial and temporal modes were successfully emulated, and spatiotemporal correlated logics were obtained. A proof-of-principle visual system model for emulating lobula giant motion detector neuron was investigated. Our results are of great interest for flexible neuromorphic cognitive systems.

  14. Growth and properties of ZnO films on polymeric substrate by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kriisa, Merike; Kärber, Erki [Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Krunks, Malle, E-mail: malle.krunks@ttu.ee [Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Mikli, Valdek [Centre for Materials Research, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Unt, Tarmo; Kukk, Mart; Mere, Arvo [Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia)

    2014-03-31

    The growth of ZnO layers deposited by spray pyrolysis on polymeric substrate was studied. Zinc acetate precursor solution was sprayed onto preheated polyimide (PI) and glass reference substrates at 380 °C. The structural, morphological, optical and electrical properties of the layers were measured by X-ray diffraction, scanning electron microscopy, optical spectroscopy and van der Pauw and Hall method. ZnO:In layers could be grown on PI when deposited onto undoped ZnO layer acting as a buffer layer on PI. Independent of the substrate type, the ZnO/ZnO:In bilayer showed a mixed morphology from smooth canvas-like surface to large scrolled belt grains dependent on buffer layer morphology. Due to the formation of scrolled belts, the ZnO:In layer shows no preferential orientation, yet the preferred orientation of the ZnO buffer crystallites is (100) plane parallel to the substrate. The bilayers deposited on PI exhibit high light scattering capability (haze factor of 85–95% in the spectral region of 350–1500 nm). The resistivity of the ZnO:In film in bilayer on PI is 4.4 × 10{sup −2} Ω cm mainly due to low carrier mobility of 1.5 cm{sup 2}/Vs, the carrier concentration is 10{sup 20} cm{sup −3}. - Highlights: • ZnO:In layers were grown on polyimide substrate by spray pyrolysis. • The buffer layer morphology is controlled by the layer thickness and spray rate. • ZnO/ZnO:In bilayer morphology is dependent on the surface of buffer layer. • Rough buffer layer leads to rough bilayer with scrolled belts (diameter of 2–6 μm). • Due to scrolled belts layers show no preferential growth yet highly scatter light.

  15. The growth of the metallic ZrNx thin films on P-GaN substrate by pulsed laser deposition

    Science.gov (United States)

    Gu, Chengyan; Sui, Zhanpeng; Li, Yuxiong; Chu, Haoyu; Ding, Sunan; Zhao, Yanfei; Jiang, Chunping

    2018-03-01

    Although metal nitride thin films have attractive prospects in plasmonic applications due to its stable properties in harsh environments containing high temperatures, shock, and contaminants, the effect of deposition parameters on the properties of the metallic ZrN grown on III-N semiconductors by pulse laser deposition still lacks of detailed exploration. Here we have successfully prepared metallic ZrNx films on p-GaN substrate by pulsed laser deposition in N2 ambient of various pressures at a fixed substrate temperature (475 °C). It is found that the films exhibit quite smooth surfaces and (111) preferred orientation. The X-ray photoelectron spectroscopy measurements indicate that carbon contamination can be completely removed and oxygen contamination is significantly reduced on the film surfaces after cleaning using Ar+ sputtering. The N/Zr ratio increases from 0.64 to 0.75 when the N2 pressure increases from 0.5 Pa to 3 Pa. The optical reflectivity spectra measured by the UV-vis-NIR spectrophotometer show that the ZrNx is a typical and good metallic-like material and its metallic properties can be tuned with changing the film compositions.

  16. Metallic Conductive Nanowires Elaborated by PVD Metal Deposition on Suspended DNA Bundles.

    Science.gov (United States)

    Brun, Christophe; Elchinger, Pierre-Henri; Nonglaton, Guillaume; Tidiane-Diagne, Cheikh; Tiron, Raluca; Thuaire, Aurélie; Gasparutto, Didier; Baillin, Xavier

    2017-09-01

    Metallic conductive nanowires (NWs) with DNA bundle core are achieved, thanks to an original process relying on double-stranded DNA alignment and physical vapor deposition (PVD) metallization steps involving a silicon substrate. First, bundles of DNA are suspended with a repeatable process between 2 µm high parallel electrodes with separating gaps ranging from 800 nm to 2 µm. The process consists in the drop deposition of a DNA lambda-phage solution on the electrodes followed by a naturally evaporation step. The deposition process is controlled by the DNA concentration within the buffer solution, the drop volume, and the electrode hydrophobicity. The suspended bundles are finally metallized with various thicknesses of titanium and gold by a PVD e-beam evaporation process. The achieved NWs have a width ranging from a few nanometers up to 100 nm. The electrical behavior of the achieved 60 and 80 nm width metallic NWs is shown to be Ohmic and their intrinsic resistance is estimated according to different geometrical models of the NW section area. For the 80 nm width NWs, a resistance of about few ohms is established, opening exploration fields for applications in microelectronics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Copper and CuNi alloys substrates for HTS coated conductor applications protected from oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Segarra, M; Diaz, J; Xuriguera, H; Chimenos, J M; Espiell, F [Dept. of Chemical Engineering and Metallurgy, Univ. of Barcelona, Barcelona (Spain); Miralles, L [Lab. d' Investigacio en Formacions Geologiques. Dept. of Petrology, Geochemistry and Geological Prospecting, Univ. of Barcelona, Barcelona (Spain); Pinol, S [Inst. de Ciencia de Materials de Barcelona, Bellaterra (Spain)

    2003-07-01

    Copper is an interesting substrate for HTS coated conductors for its low cost compared to other metallic substrates, and for its low resistivity. Nevertheless, mechanical properties and resistance to oxidation should be improved in order to use it as substrate for YBCO deposition by non-vacuum techniques. Therefore, different cube textured CuNi tapes were prepared by RABIT as possible substrates for deposition of high critical current density YBCO films. Under the optimised conditions of deformation and annealing, all the studied CuNi alloys (2%, 5%, and 10% Ni) presented (100) left angle 001 right angle cube texture which is compatible for YBCO deposition. Textured CuNi alloys present higher tensile strength than pure copper. Oxidation resistance of CuNi tapes under different oxygen atmospheres was also studied by thermogravimetric analysis and compared to pure copper tapes. Although the presence of nickel improves mechanical properties of annealed copper, it does not improve its oxidation resistance. However, when a chromium buffer layer is electrodeposited on the tape, oxygen diffusion is slowed down. Chromium is, therefore, useful for protecting copper and CuNi alloys from oxidation although its recrystallisation texture, (110), is not suitable for coated conductors. (orig.)

  18. Microscopic mechanisms of graphene electrolytic delamination from metal substrates

    International Nuclear Information System (INIS)

    Fisichella, G.; Di Franco, S.; Roccaforte, F.; Giannazzo, F.; Ravesi, S.

    2014-01-01

    In this paper, hydrogen bubbling delamination of graphene (Gr) from copper using a strong electrolyte (KOH) water solution was performed, focusing on the effect of the KOH concentration (C KOH ) on the Gr delamination rate. A factor of ∼10 decrease in the time required for the complete Gr delamination from Cu cathodes with the same geometry was found increasing C KOH from ∼0.05 M to ∼0.60 M. After transfer of the separated Gr membranes to SiO 2 substrates by a highly reproducible thermo-compression printing method, an accurate atomic force microscopy investigation of the changes in Gr morphology as a function of C KOH was performed. Supported by these analyses, a microscopic model of the delamination process has been proposed, where a key role is played by graphene wrinkles acting as nucleation sites for H 2 bubbles at the cathode perimeter. With this approach, the H 2 supersaturation generated at the electrode for different electrolyte concentrations was estimated and the inverse dependence of t d on C KOH was quantitatively explained. Although developed in the case of Cu, this analysis is generally valid and can be applied to describe the electrolytic delamination of graphene from several metal substrates.

  19. Chemically-modified graphene sheets as an active layer for eco-friendly metal electroplating on plastic substrates

    International Nuclear Information System (INIS)

    Oh, Joon-Suk; Hwang, Taeseon; Nam, Gi-Yong; Hong, Jung-Pyo; Bae, Ah-Hyun; Son, Sang-Ik; Lee, Geun-Ho; Sung, Hak kyung; Choi, Hyouk Ryeol; Koo, Ja Choon; Nam, Jae-Do

    2012-01-01

    Eco-friendly nickel (Ni) electroplating was carried out on a plastic substrate using chemically modified graphene sheets as an active and conductive layer to initiate electroplating without using conventional pre-treatment or electroless metal-seeding processes. A graphene oxide (GO) solution was self-assembled on a polyethylene terephthalate (PET) film followed by evaporation to give GO layers (thickness around 6.5 μm) on PET (GO/PET) film. Then, the GO/PET film was chemically and thermally reduced to convert the GO layers to reduced graphene oxide (RGO) layers on the PET substrate. The RGO-coated PET (RGO/PET) film showed the sheet resistance of 100 Ω per square. On RGO/PET film, Ni electroplating was conducted under the constant-current condition and the entire surface of the PET film was completely metalized with Ni without any voids.

  20. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Passivation coating for flexible substrate mirrors

    Science.gov (United States)

    Tracy, C. Edwin; Benson, David K.

    1990-01-01

    A protective diffusion barrier for metalized mirror structures is provided by a layer or coating of silicon nitride which is a very dense, transparent, dielectric material that is impervious to water, alkali, and other impurities and corrosive substances that typically attack the metal layers of mirrors and cause degradation of the mirrors' reflectivity. The silicon nitride layer can be deposited on the substrate before metal deposition thereon to stabilize the metal/substrate interface, and it can be deposited over the metal to encapsulate it and protect the metal from corrosion or other degradation. Mirrors coated with silicon nitride according to this invention can also be used as front surface mirrors. Also, the silver or other reflective metal layer on mirrors comprising thin, lightweight, flexible substrates of metal or polymer sheets coated with glassy layers can be protected with silicon nitride according to this invention.

  2. Insights into Substrate Specificity and Metal Activation of Mammalian Tetrahedral Aspartyl Aminopeptidase

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yuanyuan; Farquhar, Erik R.; Chance, Mark R.; Palczewski, Krzysztof; Kiser, Philip D. (Case Western)

    2012-07-11

    Aminopeptidases are key enzymes involved in the regulation of signaling peptide activity. Here, we present a detailed biochemical and structural analysis of an evolutionary highly conserved aspartyl aminopeptidase called DNPEP. We show that this peptidase can cleave multiple physiologically relevant substrates, including angiotensins, and thus may play a key role in regulating neuron function. Using a combination of x-ray crystallography, x-ray absorption spectroscopy, and single particle electron microscopy analysis, we provide the first detailed structural analysis of DNPEP. We show that this enzyme possesses a binuclear zinc-active site in which one of the zinc ions is readily exchangeable with other divalent cations such as manganese, which strongly stimulates the enzymatic activity of the protein. The plasticity of this metal-binding site suggests a mechanism for regulation of DNPEP activity. We also demonstrate that DNPEP assembles into a functionally relevant tetrahedral complex that restricts access of peptide substrates to the active site. These structural data allow rationalization of the enzyme's preference for short peptide substrates with N-terminal acidic residues. This study provides a structural basis for understanding the physiology and bioinorganic chemistry of DNPEP and other M18 family aminopeptidases.

  3. Adhesion energies of 2D graphene and MoS{sub 2} to silicon and metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Torres, Jorge; Liu, Pei; Yun, Minhee [Department of Electrical and Computer Engineering, University of Pittsburgh, Pittsburgh, PA (United States); Zhu, Yisi [Materials Science Division, Argonne National Lab, Lemont, IL (United States); Lim, Seong Chu [Department of Energy Science, Sungkyunkwan University (SKKU), Suwon (Korea, Republic of); Center for Integrated Nanostructure Physics, Institute for Basic Science (IBS), Suwon (Korea, Republic of)

    2018-01-15

    In this paper, results for the adhesion energy of graphene and MoS{sub 2} to silicon based and metal substrates using the intercalation of nanoparticles method are presented. In this method, nanoparticles are dispersed onto the substrates before transferring the 2D material onto the substrate. This causes a blister to form, the width and height of which can be measured by AFM. Using a simple model then allows for the adhesion energy to be found. The substrates tested are SiO{sub 2}, Si{sub 3}N{sub 4}, gold, and platinum. Gold is found to have the highest adhesion energy per area of 7687.10 and 1207.26 mJ m{sup -2} for graphene and MoS{sub 2} respectively. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Substrate structures for InP-based devices

    International Nuclear Information System (INIS)

    Wanlass, M.W.; Sheldon, P.

    1990-01-01

    A substrate structure for an InP-based semiconductor device having an InP based film is described. The substrate structure includes a substrate region having a lightweight bulk substrate and an upper GaAs layer. An interconnecting region is disposed between the substrate region and the InP-based device. The interconnecting region includes a compositionally graded intermediate layer substantially lattice-matched at the opposite end to the InP=based film. The interconnecting region further includes a dislocation mechanism disposed between the GaAs layer and the InP-based film in cooperation with the graded intermediate layer, the buffer mechanism blocking and inhibiting propagation of threading dislocations between the substrate region, and the InP-based device

  5. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  6. Method for radiolabeling proteins with technetium-99m

    International Nuclear Information System (INIS)

    Crockford, D.R.; Rhodes, B.A.

    1984-01-01

    In accordance with this invention, a substrate to be radiolabeled with technetium-99m is admixed with a buffered stannous chloride composition having a pH between about 4.5 and about 8.5 wherein the stannous chloride is produced from a non-oxidized tin source, the buffered stannous chloride is purged of oxygen and the buffer comprises a mixture of alkali metal biphthalate and an alkali metal tartrate. Alternatively, the buffer may include alkali metal borate or gentisate. The stannous chloride solution is admixed with the buffer and the resultant mixture is neutralized with sodium hydroxide. The neutralized solution then is admixed with the substrate eventually to be radiolabeled with technetium-99m. This solution is allowed to incubate for several hours (usually over 15 hours) in the absence of oxygen and at room temperature

  7. Origin of low sodium capacity in graphite and generally weak substrate binding of Na and Mg among alkali and alkaline earth metals.

    Science.gov (United States)

    Liu, Yuanyue; Merinov, Boris V; Goddard, William A

    2016-04-05

    It is well known that graphite has a low capacity for Na but a high capacity for other alkali metals. The growing interest in alternative cation batteries beyond Li makes it particularly important to elucidate the origin of this behavior, which is not well understood. In examining this question, we find a quite general phenomenon: among the alkali and alkaline earth metals, Na and Mg generally have the weakest chemical binding to a given substrate, compared with the other elements in the same column of the periodic table. We demonstrate this with quantum mechanics calculations for a wide range of substrate materials (not limited to C) covering a variety of structures and chemical compositions. The phenomenon arises from the competition between trends in the ionization energy and the ion-substrate coupling, down the columns of the periodic table. Consequently, the cathodic voltage for Na and Mg is expected to be lower than those for other metals in the same column. This generality provides a basis for analyzing the binding of alkali and alkaline earth metal atoms over a broad range of systems.

  8. Direct cooled power electronics substrate

    Science.gov (United States)

    Wiles, Randy H [Powell, TN; Wereszczak, Andrew A [Oak Ridge, TN; Ayers, Curtis W [Kingston, TN; Lowe, Kirk T [Knoxville, TN

    2010-09-14

    The disclosure describes directly cooling a three-dimensional, direct metallization (DM) layer in a power electronics device. To enable sufficient cooling, coolant flow channels are formed within the ceramic substrate. The direct metallization layer (typically copper) may be bonded to the ceramic substrate, and semiconductor chips (such as IGBT and diodes) may be soldered or sintered onto the direct metallization layer to form a power electronics module. Multiple modules may be attached to cooling headers that provide in-flow and out-flow of coolant through the channels in the ceramic substrate. The modules and cooling header assembly are preferably sized to fit inside the core of a toroidal shaped capacitor.

  9. A versatile digitally-graded buffer structure for metamorphic device applications

    Science.gov (United States)

    Ma, Yingjie; Zhang, Yonggang; Chen, Xingyou; Gu, Yi; Shi, Yanhui; Ji, Wanyan; Du, Ben

    2018-04-01

    Exploring more effective buffer schemes for mitigating dislocation deficiencies is the key technology towards higher performance metamorphic devices. Here we demonstrate a versatile metamorphic grading structure consisting of 38-period alternated multilayers of In0.52Al0.48As and In0.82Al0.18As on InP substrate, thicknesses of which in each period were gradually varied in opposite directions from 48.7 and 1.3 nm to 1.3 and 48.7 nm, respectively, akin to a digital alloy. Both preferentially dislocation nucleation and blocking of threading dislocation transmission are observed near the In0.82Al0.18As/In0.52Al0.48As interfaces, which help relax the strain and lower the residual defect density. A 2.6 μm In0.83Ga0.17As pin photodetector is fabricated on this pseudo-substrate, attaining a low dark current density of 2.9  ×  10‑6 A cm‑2 and a high detectivity of 1.8  ×  1010 cmHz1/2W‑1 at room temperature, comparable with the states of the art that on linearly-graded buffer layers. These results indicate such digitally-graded buffer structures are promising for enhancing performances of metamorphic devices, and can be easily generalized to other lattice-mismatched material systems.

  10. Effect of organic-buffer-layer on electrical property and environmental reliability of Ga-doped ZnO films prepared by RF plasma assisted DC magnetron sputtering on plastic substrate

    International Nuclear Information System (INIS)

    Hinoki, Toshio; Kyuhara, Chika; Agura, Hideaki; Yazawa, Kenji; Kinoshita, Kentaro; Ohmi, Koutoku; Kishida, Satoru

    2010-01-01

    Ga-doped ZnO (GZO) transparent conductive films have been prepared by RF plasma assisted DC magnetron sputtering under a reductive atmosphere on organic-buffer-layer (OBL) coated polyethylene telephthalate (PET) substrates without intentionally heating substrates. Electrical and optical properties, crystallinity, and environmental reliability of the GZO films have been investigated. The distributional characteristic of resistivity is observed in the GZO film deposited on the OBL-coated PET substrates. The high resistivity at facing the erosion area in the source target is reduced by providing the RF plasma and H 2 gas near the substrate, resulting in a uniform distribution of the sheet resistance. It has been also found that the increase of resistivity by an accelerated aging test performed under a storage condition at 60 o C and at a relative humidity of 95% is suppressed by employing the OBL. The OBL suppresses the formation of cracks, which are induced by the aging test. These facts are thought to contribute to a high environmental reliability of GZO films on PET substrates. Values of resistivity, Hall mobility and carrier concentration are obtained: 5.0-20 x 10 -3 Ω cm, 4.0 cm 2 /Vs, and 3.8 x 10 20 cm -3 , respectively. An average transmittance of the GZO film including OBL and PET substrate is 78% in a visible region. The OBL enables to realize the practical use of GZO films on PET sheets.

  11. Molecular beam epitaxy of quasi-freestanding transition metal disulphide monolayers on van der Waals substrates: a growth study

    Science.gov (United States)

    Hall, Joshua; Pielić, Borna; Murray, Clifford; Jolie, Wouter; Wekking, Tobias; Busse, Carsten; Kralj, Marko; Michely, Thomas

    2018-04-01

    Based on an ultra-high vacuum compatible two-step molecular beam epitaxy synthesis with elemental sulphur, we grow clean, well-oriented, and almost defect-free monolayer islands and layers of the transition metal disulphides MoS2, TaS2 and WS2. Using scanning tunneling microscopy and low energy electron diffraction we investigate systematically how to optimise the growth process, and provide insight into the growth and annealing mechanisms. A large band gap of 2.55 eV and the ability to move flakes with the scanning tunneling microscope tip both document the weak interaction of MoS2 with its substrate consisting of graphene grown on Ir(1 1 1). As the method works for the synthesis of a variety of transition metal disulphides on different substrates, we speculate that it could be of great use for providing hitherto unattainable high quality monolayers of transition metal disulphides for fundamental spectroscopic investigations.

  12. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  13. Numerical modelling of adsorption of metallic particles on graphite substrate via molecular dynamics simulation

    International Nuclear Information System (INIS)

    Rafii-Tabar, H.

    1998-01-01

    A computer-based numerical modelling of the adsorption process of gas phase metallic particles on the surface of a graphite substrate has been performed via the application of molecular dynamics simulation method. The simulation related to an extensive STM-based experiment performed in this field, and reproduces part of the experimental results. Both two-body and many-body inter-atomic potentials have been employed. A Morse-type potential describing the metal-carbon interactions at the interface was specially formulated for this modelling. Intercalation of silver in graphite has been observed as well as the correct alignments of monomers, dimers and two-dimensional islands on the surface. (author)

  14. Waveguide formation by laser backwriting ablation of metals unto glass substrates

    International Nuclear Information System (INIS)

    Rangel-Rojo, R.; Castelo, A.; Flores-Arias, M. T.; Gomez-Reino, C.; Lopez-Gascon, C.; Fuente, G. F. de la

    2008-01-01

    In this work we present experimental results for the generation of channel waveguides by a laser backwriting technique using a nanosecond pulsed Nd:YAG laser working at 1064 nm. We present a characterization of the resulting refractive index profile, using the refracted near-field technique, together with a spatially resolved chemical analysis based on energy dispersive x-ray analysis(EDX). The EDX results confirm that metal ions are embedded in a region close to the substrate interface, up to about a 5 μm depth. We also present a discussion of the physical mechanisms that produce the profiles measured

  15. Waveguide formation by laser backwriting ablation of metals unto glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rangel-Rojo, R [Departamento de Optica, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Apartado Postal 2732, Ensenada BC 22860 (Mexico); Castelo, A; Flores-Arias, M T; Gomez-Reino, C [GRIN Optics Group. Applied Physics Department, Escola Universitaria de Optica e Optometria, Universidad de Santiago de Compostela, Campus Sur s/n, E15782 Santiago de Compostela, Espana (Spain); Lopez-Gascon, C; Fuente, G F. de la [Instituto de Ciencia de Materiales de Aragon (U. Zaragoza-CSIC), Ma. de Luna 3, E50018 Zaragoza (Spain)

    2008-04-15

    In this work we present experimental results for the generation of channel waveguides by a laser backwriting technique using a nanosecond pulsed Nd:YAG laser working at 1064 nm. We present a characterization of the resulting refractive index profile, using the refracted near-field technique, together with a spatially resolved chemical analysis based on energy dispersive x-ray analysis(EDX). The EDX results confirm that metal ions are embedded in a region close to the substrate interface, up to about a 5 {mu}m depth. We also present a discussion of the physical mechanisms that produce the profiles measured.

  16. Methods of etching a substrate

    International Nuclear Information System (INIS)

    Cosmo, J.J.; Gambino, R.J.; Harper, J.M.E.

    1979-01-01

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail. (U.K.)

  17. Methods of etching a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cosmo, J J; Gambino, R J; Harper, J M.E.

    1979-05-16

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail.

  18. The growth of high density network of MOF nano-crystals across macroporous metal substrates - Solvothermal synthesis versus rapid thermal deposition

    Science.gov (United States)

    Maina, James W.; Gonzalo, Cristina Pozo; Merenda, Andrea; Kong, Lingxue; Schütz, Jürg A.; Dumée, Ludovic F.

    2018-01-01

    Fabrication of metal organic framework (MOF) films and membranes across macro-porous metal substrates is extremely challenging, due to the large pore sizes across the substrates, poor wettability, and the lack of sufficient reactive functional groups on the surface, which prevent high density nucleation of MOF crystals. Herein, macroporous stainless steel substrates (pore size 44 × 40 μm) are functionalized with amine functional groups, and the growth of ZIF-8 crystals investigated through both solvothermal synthesis and rapid thermal deposition (RTD), to assess the role of synthesis routes in the resultant membranes microstructure, and subsequently their performance. Although a high density of well interconnected MOF crystals was observed across the modified substrates following both techniques, RTD was found to be a much more efficient route, yielding high quality membranes under 1 h, as opposed to the 24 h required for solvothermal synthesis. The RTD membranes also exhibited high gas permeance, with He permeance of up to 2.954 ± 0.119 × 10-6 mol m-2 s-1 Pa-1, and Knudsen selectivities for He/N2, Ar/N2 and CO2/N2, suggesting the membranes were almost defect free. This work opens up route for efficient fabrication of MOF films and membranes across macro-porous metal supports, with potential application in electrically mediated separation applications.

  19. Structural and electrical properties of high-quality 0.41 μm-thick InSb films grown on GaAs (1 0 0) substrate with InxAl1−xSb continuously graded buffer

    International Nuclear Information System (INIS)

    Shin, Sang Hoon; Song, Jin Dong; Lim, Ju Young; Koo, Hyun Cheol; Kim, Tae Geun

    2012-01-01

    High-quality InSb was grown on a GaAs (1 0 0) substrate with an InAlSb continuously graded buffer (CGB). The temperatures of In, Al K-cells and substrate were modified during the growth of InAlSb CGB. The cross-section TEM image reveals that the defects due to lattice-mismatch disappear near lateral structures in CGB. The measured electron mobility of 0.41 μm-thick InSb was 46,300 cm 2 /Vs at 300 K. These data surpass the electron mobility of state-of-the-art InSb grown by other methods with similar thickness of InSb.

  20. Electroless metal plating of plastics

    International Nuclear Information System (INIS)

    Krause, L.J.

    1986-01-01

    The product of an electroless plating process is described for plating at least one main group metal directly on a surface of a polymeric substrate comprising the steps of forming a nonaqueous solution containing a metallic salt of an alkali metal in a positive valence state and at least one main group metal in a negative valence state, the main group metal being selected from the group consisting of Ge, Sn, Pb, As, Sb, Bi, Si and Te, selecting an aromatic polymeric substrate reducible by the solublized salt and resistant to degration during the reaction, and carrying out a redox reaction between the salt in solution and the substrate by contacting the solution with the substrate for a sufficient time to oxidize and deposit the main group metal in elemental form to produce a plated substrate. The product is characterized by the plated metal being directly on the surface of the polymeric substrate and the alkali metal being retained in the plated substrate with the substrate being negatively charged with electrons transferred from the main group metal during the redox reaction

  1. Vacuum-based surface modification of organic and metallic substrates

    Science.gov (United States)

    Torres, Jessica

    Surface physico-chemical properties play an important role in the development and performance of materials in different applications. Consequently, understanding the chemical and physical processes involved during surface modification strategies is of great scientific and technological importance. This dissertation presents results from the surface modification of polymers, organic films and metallic substrates with reactive species, with the intent of simulating important modification processes and elucidating surface property changes of materials under different environments. The reactions of thermally evaporated copper and titanium with halogenated polytetrafluoroethylene (PTFE) and polyvinyl chloride (PVC) are used to contrast the interaction of metals with polymers. Results indicate that reactive metallization is thermodynamically favored when the metal-halogen bond strength is greater than the carbon-halogen bond strength. X-ray post-metallization treatment results in an increase in metal-halide bond formation due to the production of volatile halogen species in the polymer that react with the metallic overlayer. The reactions of atomic oxygen (AO) and atomic chlorine with polyethylene (PE) and self-assembled monolayers (SAMs) films were followed to ascertain the role of radical species during plasma-induced polymer surface modification. The reactions of AO with X-ray modified SAMs are initially the dominated by the incorporation of new oxygen containing functionality at the vacuum/film interface, leading to the production of volatile carbon containing species such as CO2 that erodes the hydrocarbon film. The reaction of atomic chlorine species with hydrocarbon SAMs, reveals that chlorination introduces C-Cl and C-Cl2 functionalities without erosion. A comparison of the reactions of AO and atomic chlorine with PE reveal a maximum incorporation of the corresponding C-O and C-Cl functionalities at the polymer surface. A novel method to prepare phosphorous

  2. The copper spoil heap Knappenberg, Austria, as a model for metal habitats – Vegetation, substrate and contamination

    Energy Technology Data Exchange (ETDEWEB)

    Adlassnig, Wolfram; Weiss, Yasmin S. [University of Vienna, Core Facility Cell Imaging and Ultrastructure Research, Althanstraße 14, A-1090 Vienna (Austria); Sassmann, Stefan [University of Vienna, Core Facility Cell Imaging and Ultrastructure Research, Althanstraße 14, A-1090 Vienna (Austria); University of Exeter, College of Life and Environmental Sciences, Biosciences, Stocker Road, Exeter EX4 4QD (United Kingdom); Steinhauser, Georg [Leibniz University Hannover, Institute of Radioecology and Radiation Protection, Herrenhäuser Straße 2, D30419 Hannover (Germany); Hofhansl, Florian [University of Vienna, Department of Microbiology and Ecosystem Science, Althanstraße 14, A-1090 Vienna (Austria); Instituto Nacional de Pesquisas da Amazônia, Coordenação de Dinâmica Ambiental, Manaus (Brazil); Baumann, Nils [Helmholtz-Zentrum Dresden-Rossendorf, Division of Biogeochemistry, Bautzner Landstraße 400, D-01328 Dresden (Germany); Lichtscheidl, Irene K. [University of Vienna, Core Facility Cell Imaging and Ultrastructure Research, Althanstraße 14, A-1090 Vienna (Austria); Lang, Ingeborg, E-mail: ingeborg.lang@univie.ac.at [University of Vienna, Core Facility Cell Imaging and Ultrastructure Research, Althanstraße 14, A-1090 Vienna (Austria)

    2016-09-01

    Historic mining in the Eastern Alps has left us with a legacy of numerous spoil heaps hosting specific, metal tolerant vegetation. Such habitats are characterized by elevated concentrations of toxic elements but also by high irradiation, a poorly developed substrate or extreme pH of the soil. This study investigates the distribution of vascular plants, mosses and lichens on a copper spoil heap on the ore bearing Knappenberg formed by Prebichl Layers and Werfener Schist in Lower Austria. It serves as a model for discriminating between various ecological traits and their effects on vegetation. Five distinct clusters were distinguished: (1) The bare, metal rich Central Spoil Heap was only colonised by highly resistant specialists. (2) The Northern and (3) Southern Peripheries contained less copper; the contrasting vegetation was best explained by the different microclimate. (4) A forest over acidic bedrock hosted a vegetation overlapping with the periphery of the spoil heap. (5) A forest over calcareous bedrock was similar to the spoil heap with regard to pH and humus content but hosted a vegetation differing strongly to all other habitats. Among the multiple toxic elements at the spoil heap, only Cu seems to exert a crucial influence on the vegetation pattern. Besides metal concentrations, irradiation, humidity, humus, pH and grain size distribution are important for the establishment of a metal tolerant vegetation. The difference between the species poor Northern and the diverse Southern Periphery can be explained by the microclimate rather than by the substrate. All plant species penetrating from the forest into the periphery of the spoil heap originate from the acidic but not from the calcareous bedrock. - Highlights: • Strong impact on plant diversity by isolation and extreme abiotic conditions • Both, microclimate and substrate explain species distribution. • Increased cellular metal tolerance of plants from the Central Spoil Heap • Among toxic elements

  3. Enhanced Optical and Electrical Properties of TiO_2 Buffered IGZO/TiO_2 Bi-Layered Films

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Kim, Daeil

    2016-01-01

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO_2-deposited glass substrate to determine the effect of the thickness of a thin TiO_2 buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO_2 buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO_2 buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO_2 bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO_2 bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  4. Deposition and Characterization of Thin Films on Metallic Substrates

    Science.gov (United States)

    Gatica, Jorge E.

    2005-01-01

    on aluminum and other metallic substrates.

  5. Oblique-angle sputtered AlN nanocolumnar layer as a buffer layer in GaN-based LED

    International Nuclear Information System (INIS)

    Chen, Lung-Chien; Tien, Ching-Ho; Liao, Wei-Chian; Luo, Yi-Min

    2011-01-01

    This work presents an aluminum nitride (AlN) nanocolumnar layer sputtered at various oblique angles and its application as a buffer layer for GaN-based light-emitting diodes (LEDs) that are fabricated on sapphire substrates. The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm. The GaN-based LED structure is perpendicularly extended from the OA-AlN nanocolumnar layer. Then, the nanocolumnar structure is merged into p-GaN layer to form a mesa structure with a diameter of about 200-600 nm on the surface of the GaN-based LED. Moreover, optical characteristics of the LED were studied using photoluminescence, along with the blue-shifts observed as well. - Research highlights: → An AlN nanocolumnar buffer layer prepared by oblique-angle (OA) deposition. → GaN-based LED structures were grown on a sapphire substrate with an AlN nanocolumnar buffer layer. → The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm.

  6. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  7. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  8. In vitro tensile strength of luting cements on metallic substrate.

    Science.gov (United States)

    Orsi, Iara A; Varoli, Fernando K; Pieroni, Carlos H P; Ferreira, Marly C C G; Borie, Eduardo

    2014-01-01

    The aim of this study was to determine the tensile strength of crowns cemented on metallic substrate with four different types of luting agents. Twenty human maxillary molars with similar diameters were selected and prepared to receive metallic core castings (Cu-Al). After cementation and preparation the cores were measured and the area of crown's portion was calculated. The teeth were divided into four groups based on the luting agent used to cement the crowns: zinc phosphate cement; glass ionomer cement; resin cement Rely X; and resin cement Panavia F. The teeth with the crowns cemented were subjected to thermocycling and later to the tensile strength test using universal testing machine with a load cell of 200 kgf and a crosshead speed of 0.5 mm/min. The load required to dislodge the crowns was recorded and converted to MPa/mm(2). Data were subjected to Kruskal-Wallis analysis with a significance level of 1%. Panavia F showed significantly higher retention in core casts (3.067 MPa/mm(2)), when compared with the other cements. Rely X showed a mean retention value of 1.877 MPa/mm(2) and the zinc phosphate cement with 1.155 MPa/mm(2). Glass ionomer cement (0.884 MPa/mm(2)) exhibited the lowest tensile strength value. Crowns cemented with Panavia F on cast metallic posts and cores presented higher tensile strength. The glass ionomer cement showed the lowest tensile strength among all the cements studied.

  9. Semiconductor- to metallic-like behavior in Bi thin films on KCl substrate

    International Nuclear Information System (INIS)

    Bui, Thanh Nhan; Raskin, Jean-Pierre; Hackens, Benoit

    2016-01-01

    Bi thin films, with a thickness of 100 nm, are deposited by electron-beam evaporation on a freshly cleaved (100) KCl substrate. The substrate temperature during film growth (T_d_e_p) ranges from room temperature up to 170 °C. Films deposited at room temperature exhibit a maze-like microstructure typical of the rhombohedral (110) texture, as confirmed by X-ray diffraction. For T_d_e_p above 80 °C, a different microstructure appears, characterized by concentric triangular shapes corresponding to the trigonal (111) texture. Temperature dependence of the resistivity shows a transition from a semiconductor-like behavior for films deposited at room temperature to a metallic-like behavior for T_d_e_p above 80 °C. From magnetoresistance measurements between room temperature and 1.6 K, we extract the electron and hole mobilities, concentrations, and mean free paths, which allow to draw a complete picture of the transport properties of both types of films.

  10. Dynamics and mitigation of six pesticides in a "Wet" forest buffer zone.

    Science.gov (United States)

    Passeport, Elodie; Richard, Benjamin; Chaumont, Cédric; Margoum, Christelle; Liger, Lucie; Gril, Jean-Joël; Tournebize, Julien

    2014-04-01

    Pesticide pollution is one of the main current threats on water quality. This paper presents the potential and functioning principles of a "Wet" forest buffer zone for reducing concentrations and loads of glyphosate, isoproturon, metazachlor, azoxystrobin, epoxiconazole, and cyproconazole. A tracer injection experiment was conducted in the field in a forest buffer zone at Bray (France). A fine time-scale sampling enabled to illustrate that interactions between pesticides and forest buffer substrates (soil and organic-rich litter layer), had a retarding effect on molecule transfer. Low concentrations were observed for all pesticides at the forest buffer outlet thus demonstrating the efficiency of "Wet" forest buffer zone for pesticide dissipation. Pesticide masses injected in the forest buffer inlet directly determined concentration peaks observed at the outlet. Rapid and partially reversible adsorption was likely the major process affecting pesticide transfer for short retention times (a few hours to a few days). Remobilization of metazachlor, isoproturon, desmethylisoproturon, and AMPA was observed when non-contaminated water flows passed through the forest buffer. Our data suggest that pesticide sorption properties alone could not explain the complex reaction mechanisms that affected pesticide transfer in the forest buffer. Nevertheless, the thick layer of organic matter litter on the top of the forest soil was a key parameter, which enhanced partially reversible sorption of pesticide, thus retarded their transfer, decreased concentration peaks, and likely increased degradation of the pesticides. Consequently, to limit pesticide pollution transported by surface water, the use of already existing forest areas as buffer zones should be equally considered as the most commonly implemented grass buffer strips.

  11. Side Effect of Good's Buffers on Optical Properties of Gold Nanoparticle Solutions

    DEFF Research Database (Denmark)

    Engelbrekt, Christian; Wagner, Michal; Undall-Behrend Christiansen, Mikkel

    2016-01-01

    spectroscopy. Distinct absorption features at ca. 290 and 360 nm and fluorescence emission in the 408-484 nm range are observed in filtered AuNP-free solutions. Electrochemical oxidation of these buffers generates similar optical properties, suggesting that the degradation products of the buffers contribute...... to the optical properties of AuNP solutions. This work indicates deeper evaluation of fluorescence signals based on metal NPs or NCs is needed....

  12. Role of substrate commensurability on non-reactive wetting kinetics of liquid metals

    Energy Technology Data Exchange (ETDEWEB)

    Benhassine, M. [Centre for Research in Molecular Modelling, University of Mons-Hainaut, Parc Initialis, Av. Copernic, 1, 7000 Mons (Belgium); Saiz, E.; Tomsia, A.P. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); De Coninck, J., E-mail: joel.deconinck@umons.ac.be [Centre for Research in Molecular Modelling, University of Mons-Hainaut, Parc Initialis, Av. Copernic, 1, 7000 Mons (Belgium)

    2010-04-15

    The dynamics of spreading of liquid metal atoms via molecular dynamics is considered vs. the commensurability of the solid surface with respect to the size of the liquid atoms. The solid surfaces are modeled as rigid (1 0 0) oriented Ni and, for two series of simulations, the lattice spacing of the substrate is varied from the regular equilibrium spacing to a commensurate situation with Au or Ag drops spreading spontaneously on top. The diffusion is calculated in the layered region of the liquid in contact with the two different solid surfaces and then compared. Then, the dynamic evolution of the contact angle is fitted to Molecular Kinetic Theory and compared with the two substrate geometries. It is observed that the friction parameter scales as the inverse of the diffusion in the interfacial region. The change in ordering induced by the commensurate substrate is characterized by examining the density profiles across the solid/liquid interface and fitting the curve by an exponential decay with a characteristic correlation distance 1/{kappa}. It is shown that the commensurability/non-commensurability of the solid surface with respect to the liquid atoms changes the ordering, which plays a significant role in the dynamics, a feature not properly taken into account in the present formulation of Molecular Kinetic Theory.

  13. Role of substrate commensurability on non-reactive wetting kinetics of liquid metals

    International Nuclear Information System (INIS)

    Benhassine, M.; Saiz, E.; Tomsia, A.P.; De Coninck, J.

    2010-01-01

    The dynamics of spreading of liquid metal atoms via molecular dynamics is considered vs. the commensurability of the solid surface with respect to the size of the liquid atoms. The solid surfaces are modeled as rigid (1 0 0) oriented Ni and, for two series of simulations, the lattice spacing of the substrate is varied from the regular equilibrium spacing to a commensurate situation with Au or Ag drops spreading spontaneously on top. The diffusion is calculated in the layered region of the liquid in contact with the two different solid surfaces and then compared. Then, the dynamic evolution of the contact angle is fitted to Molecular Kinetic Theory and compared with the two substrate geometries. It is observed that the friction parameter scales as the inverse of the diffusion in the interfacial region. The change in ordering induced by the commensurate substrate is characterized by examining the density profiles across the solid/liquid interface and fitting the curve by an exponential decay with a characteristic correlation distance 1/κ. It is shown that the commensurability/non-commensurability of the solid surface with respect to the liquid atoms changes the ordering, which plays a significant role in the dynamics, a feature not properly taken into account in the present formulation of Molecular Kinetic Theory.

  14. Electro-optic properties of epitaxial Sr0.6Ba0.4Nb2O6 films grown on MgO substrates using LixNi2-xO buffer layer

    Science.gov (United States)

    Li, X. T.; Du, P. Y.; Ye, H.; Mak, C. L.; Wong, K. H.

    2008-08-01

    Textured LixNi2-xO (LNO) thin films have been fabricated on (001)MgO substrates by pulsed laser deposition technique. The as-deposited LNO films shows a conductivity of 2.5×10-3 Ω m and possess a transmittance of about 35% in the visible region. Subsequent deposition of Sr0.6Ba0.4Nb2O6 (SBN60) thin film on these LNO-coated MgO substrates resulted in a textured SBN layer with a orientation perpendicular to the substrate plane. Phi scans on the (221) plane of the SBN layer indicated that the films have two in-plane orientations with respect to the substrate. The SBN unit cells were rotated in the plane of the film by ± 8.2° as well as ± 45° with respect to the LNO/MgO substrate. Besides the highly (00l)-orientation, the SBN films also exhibited a dense microstructure as shown by scanning electron microscopy. The electro-optic coefficient (r33) of the SBN film was measured to be 186 pm/V. On the basis of our results, we have demonstrated that the LNO film can be used as a buffer layer as well as a transparent bottom electrode for waveguide applications. The SBN/LNO heterostructure is also a suitable candidate for integrated electro-optics devices.

  15. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  16. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  17. Fabrication and characterization of nickel nanowires deposited on metal substrate

    International Nuclear Information System (INIS)

    Rahman, I.Z.; Razeeb, K.M.; Rahman, M.A.; Kamruzzaman, Md.

    2003-01-01

    The present investigation is a part of ongoing systematic study of production and process development of nanometer scale arrays of magnetic wires on metal substrates. Nickel nanowires are grown in ordered anodic alumina templates using galvanostatic electrodeposition. In this paper we report on the growth of nanowires on the electrochemical cell parameters such as bath temperature, pH and time. Focused ion beam analysis revealed heterogeneous growth of nickel nanowires. X-ray diffraction spectrum showed that FCC nickel changed the preferred orientation from (2 2 0) at lower bath temperatures to (2 0 0) at higher bath temperatures. Magnetic measurement showed that coercive fields were higher for wires with smaller diameters. Magneto-impedance was measured as a function of applied magnetic field and wire diameter

  18. Studies on Ba(2)YNbO(6) Buffer Layers for Subsequent YBa(2)Cu(3)O(7-x) Film Growth

    National Research Council Canada - National Science Library

    Sathiraju, Srinivas; Barnes, Paul N; Varanasi, Chakrapani; Wheeler, Robert

    2004-01-01

    In this paper, we are reporting a dielectric oxide buffer Ba(2)YNbO(6) (BYNO) and its performance on various substrates for a potential buffer layer for the growth of YBa(2)Cu(3)O(7-x) (YBCO) coated conductors. Ba(2)YNbO(6...

  19. Facile Formation of High-quality InGaN/GaN Quantum-disks-in-Nanowires on Bulk-Metal Substrates for High-power Light-emitters

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Wei, Nini; Prabaswara, Aditya; Alias, Mohd Sharizal; Janjua, Bilal; Shen, Chao; Ooi, Boon S.

    2016-01-01

    High-quality nitride materials grown on scalable and low-cost metallic substrates are considerably attractive for high-power light emitters. We demonstrate here, for the first time, the high-power red (705 nm) InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) self-assembled directly on metal-substrate. The LEDs exhibited a low turn-on voltage of ~2 V without efficiency droop up to injection current of 500 mA (1.6 kA/cm2) at ~5 V. This is achieved through the direct growth and optimization of high-quality nanowires on titanium (Ti) coated bulk polycrystalline-molybdenum (Mo) substrates. We performed extensive studies on the growth mechanisms, obtained high-crystal-quality nanowires, and confirmed the epitaxial relationship between the cubic titanium nitride (TiN) transition layer and the hexagonal nanowires. The growth of nanowires on all-metal stack of TiN/Ti/Mo enables simultaneous implementation of n-metal contact, reflector and heat-sink, which greatly simplifies the fabrication process of high-power light emitters. Our work ushers in a practical platform for high-power nanowires light emitters, providing versatile solutions for multiple cross-disciplinary applications that are greatly enhanced by leveraging on the chemical stability of nitride materials, large specific surface of nanowires, chemical lift-off ready layer structures, and reusable Mo substrates.

  20. Facile Formation of High-quality InGaN/GaN Quantum-disks-in-Nanowires on Bulk-Metal Substrates for High-power Light-emitters

    KAUST Repository

    Zhao, Chao

    2016-01-08

    High-quality nitride materials grown on scalable and low-cost metallic substrates are considerably attractive for high-power light emitters. We demonstrate here, for the first time, the high-power red (705 nm) InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) self-assembled directly on metal-substrate. The LEDs exhibited a low turn-on voltage of ~2 V without efficiency droop up to injection current of 500 mA (1.6 kA/cm2) at ~5 V. This is achieved through the direct growth and optimization of high-quality nanowires on titanium (Ti) coated bulk polycrystalline-molybdenum (Mo) substrates. We performed extensive studies on the growth mechanisms, obtained high-crystal-quality nanowires, and confirmed the epitaxial relationship between the cubic titanium nitride (TiN) transition layer and the hexagonal nanowires. The growth of nanowires on all-metal stack of TiN/Ti/Mo enables simultaneous implementation of n-metal contact, reflector and heat-sink, which greatly simplifies the fabrication process of high-power light emitters. Our work ushers in a practical platform for high-power nanowires light emitters, providing versatile solutions for multiple cross-disciplinary applications that are greatly enhanced by leveraging on the chemical stability of nitride materials, large specific surface of nanowires, chemical lift-off ready layer structures, and reusable Mo substrates.

  1. Efficient inverted bulk-heterojunction solar cells from low-temperature processing of amorphous ZnO buffer layers

    KAUST Repository

    Jagadamma, Lethy Krishnan; Abdelsamie, Maged; El Labban, Abdulrahman; Aresu, Emanuele; Ngongang Ndjawa, Guy Olivier; Anjum, Dalaver H.; Cha, Dong Kyu; Beaujuge, Pierre; Amassian, Aram

    2014-01-01

    In this report, we demonstrate that solution-processed amorphous zinc oxide (a-ZnO) interlayers prepared at low temperatures (∼100 °C) can yield inverted bulk-heterojunction (BHJ) solar cells that are as efficient as nanoparticle-based ZnO requiring comparably more complex synthesis or polycrystalline ZnO films prepared at substantially higher temperatures (150-400 °C). Low-temperature, facile solution-processing approaches are required in the fabrication of BHJ solar cells on flexible plastic substrates, such as PET. Here, we achieve efficient inverted solar cells with a-ZnO buffer layers by carefully examining the correlations between the thin film morphology and the figures of merit of optimized BHJ devices with various polymer donors and PCBM as the fullerene acceptor. We find that the most effective a-ZnO morphology consists of a compact, thin layer with continuous substrate coverage. In parallel, we emphasize the detrimental effect of forming rippled surface morphologies of a-ZnO, an observation which contrasts with results obtained in polycrystalline ZnO thin films, where rippled morphologies have been reported to improve efficiency. After optimizing the a-ZnO morphology at low processing temperature for inverted P3HT:PCBM devices, achieving a power conversion efficiency (PCE) of ca. 4.1%, we demonstrate inverted solar cells with low bandgap polymer donors on glass/flexible PET substrates: PTB7:PC71BM (PCE: 6.5% (glass)/5.6% (PET)) and PBDTTPD:PC71BM (PCE: 6.7% (glass)/5.9% (PET)). Finally, we show that a-ZnO based inverted P3HT:PCBM BHJ solar cells maintain ca. 90-95% of their initial PCE even after a full year without encapsulation in a nitrogen dry box, thus demonstrating excellent shelf stability. The insight we have gained into the importance of surface morphology in amorphous zinc oxide buffer layers should help in the development of other low-temperature solution-processed metal oxide interlayers for efficient flexible solar cells. This journal is

  2. Use of an arc plasma rotating in a magnetic field for metal coating glass substrates

    International Nuclear Information System (INIS)

    Vukanovic, V.; Butler, S.; Kapur, S.; Krakower, E.; Allston, T.; Belfield, K.; Gibson, G.

    1983-01-01

    First results are reported about deposition of metals on glass substrate using a low current arc plasma source at atmospheric pressure. The arc source consists of a graphite cathode rod placed on the axis of a graphite anode cylinder aligned in a magnetic field. The carrier gas is argon. The deposition material, zinc or gold, is evaporated from a reservoir in the cathode. Depositions on flat substrates positioned on the periphery of the rotating plasma within the anode tube and in a jet outside the anode have been investigated. The investigations are planned to lead towards laser fusion target pusher layer fabrication. This fabrication would be facilitated by a high pressure deposition process where target levitation is readily performed

  3. ssDNA degradation along capillary electrophoresis process using a Tris buffer.

    Science.gov (United States)

    Ric, Audrey; Ong-Meang, Varravaddheay; Poinsot, Verena; Martins-Froment, Nathalie; Chauvet, Fabien; Boutonnet, Audrey; Ginot, Frédéric; Ecochard, Vincent; Paquereau, Laurent; Couderc, François

    2017-06-01

    Tris-Acetate buffer is currently used in the selection and the characterization of ssDNA by capillary electrophoresis (CE). By applying high voltage, the migration of ionic species into the capillary generates a current that induces water electrolysis. This phenomenon is followed by the modification of the pH and the production of Tris derivatives. By injecting ten times by capillary electrophoresis ssDNA (50 nM), the whole oligonucleotide was degraded. In this paper, we will show that the Tris buffer in the running vials is modified along the electrophoretic process by electrochemical reactions. We also observed that the composition of the metal ions changes in the running buffer vials. This phenomenon, never described in CE, is important for fluorescent ssDNA analysis using Tris buffer. The oligonucleotides are degraded by electrochemically synthesized species (present in the running Tris vials) until it disappears, even if the separation buffer in the capillary is clean. To address these issues, we propose to use a sodium phosphate buffer that we demonstrate to be electrochemically inactive. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  5. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  6. Buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions: from protein buffer capacity prediction to bioprocess applications.

    Science.gov (United States)

    Bahrenburg, Sven; Karow, Anne R; Garidel, Patrick

    2015-04-01

    Protein therapeutics, including monoclonal antibodies (mAbs), have significant buffering capacity, particularly at concentrations>50 mg/mL. This report addresses pH-related issues critical to adoption of self-buffered monoclonal antibody formulations. We evaluated solution conditions with protein concentrations ranging from 50 to 250 mg/mL. Samples were both buffer-free and conventionally buffered with citrate. Samples were non-isotonic or adjusted for isotonicity with NaCl or trehalose. Studies included accelerated temperature stability tests, shaking stability studies, and pH changes in infusion media as protein concentrate is added. We present averaged buffering slopes of capacity that can be applied to any mAb and present a general method for calculating buffering capacity of buffer-free, highly concentrated antibody liquid formulations. In temperature stability tests, neither buffer-free nor conventionally buffered solution conditions showed significant pH changes. Conventionally buffered solutions showed significantly higher opalescence than buffer-free ones. In general, buffer-free solution conditions showed less aggregation than conventionally buffered solutions. Shaking stability tests showed no differences between buffer-free and conventionally buffered solutions. "In-use" preparation experiments showed that pH in infusion bag medium can rapidly approximate that of self-buffered protein concentrate as concentrate is added. In summary, the buffer capacity of proteins can be predicted and buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions. Copyright © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  8. Biosensors based on enzyme field-effect transistors for determination of some substrates and inhibitors.

    Science.gov (United States)

    Dzyadevych, Sergei V; Soldatkin, Alexey P; Korpan, Yaroslav I; Arkhypova, Valentyna N; El'skaya, Anna V; Chovelon, Jean-Marc; Martelet, Claude; Jaffrezic-Renault, Nicole

    2003-10-01

    This paper is a review of the authors' publications concerning the development of biosensors based on enzyme field-effect transistors (ENFETs) for direct substrates or inhibitors analysis. Such biosensors were designed by using immobilised enzymes and ion-selective field-effect transistors (ISFETs). Highly specific, sensitive, simple, fast and cheap determination of different substances renders them as promising tools in medicine, biotechnology, environmental control, agriculture and the food industry. The biosensors based on ENFETs and direct enzyme analysis for determination of concentrations of different substrates (glucose, urea, penicillin, formaldehyde, creatinine, etc.) have been developed and their laboratory prototypes were fabricated. Improvement of the analytical characteristics of such biosensors may be achieved by using a differential mode of measurement, working solutions with different buffer concentrations and specific agents, negatively or positively charged additional membranes, or genetically modified enzymes. These approaches allow one to decrease the effect of the buffer capacity influence on the sensor response in an aim to increase the sensitivity of the biosensors and to extend their dynamic ranges. Biosensors for the determination of concentrations of different toxic substances (organophosphorous pesticides, heavy metal ions, hypochlorite, glycoalkaloids, etc.) were designed on the basis of reversible and/or irreversible enzyme inhibition effect(s). The conception of an enzymatic multibiosensor for the determination of different toxic substances based on the enzyme inhibition effect is also described. We will discuss the respective advantages and disadvantages of biosensors based on the ENFETs developed and also demonstrate their practical application.

  9. Influence of a ZnO Buffer Layer on the Structural, Optical, and Electrical Properties of ITO/ZnO Bi-Layered Films

    International Nuclear Information System (INIS)

    Heo, Sung-Bo; Moon, Hyun-Joo; Kim, Daeil; Kim, Jun-Ho

    2016-01-01

    Sn-doped indium oxide (ITO) films and ITO/ZnO bi-layered films were prepared on polycarbonate substrates by RF magnetron sputtering without intentional substrate heating. In order to consider the influence of the ZnO thickness on the structural, optical, and electrical properties of ITO/ZnO films, the thickness of the ZnO buffer layer was varied from 5 to 20 nm. As-deposited ITO films show an average optical transmittance of 79.2% in the visible range and an electrical resistivity of 3.0×10"-"4 Ωcm, while films with a 5-nm thick ZnO buffer layer film show an electrical resistivity of 2.6×10"-"4 Ωcm and films with a 20-nm thick ZnO buffer layer show an optical transmittance of 82.0%. Based on the figure of merit, it is concluded that the ZnO buffer layer enhances the optical and electrical performance of ITO films used as transparent conducting oxides in flexible display applications.

  10. Effect of plants on the bioavailability of metals and other chemical properties of biosolids in a column study.

    Science.gov (United States)

    Huynh, Trang T; Laidlaw, W Scott; Singh, Balwant; Zhang, Hao; Baker, Alan J M

    2012-10-01

    The effects of metal-accumulating plants (Salix x reichardtii and Populus balsamifera) on the chemical properties and dynamics of metals in biosolids were investigated using different techniques including diffusive gradients in thin films (DGT), sequential extraction procedures and partitioning coefficient (K(d)). Plants could effectively extract Cd, Ni, and Zn and decreased dissolved organic carbon (DOC). The presence of plants increased the potential bioavailability of these metals, as assessed by an increase in the ratio of metal measured by DGT and metals in the solution. The plants affected the Cd, Ni, and Zn pools (soluble/exchangeable; Fe/Mn oxide and organic matter bound) characterised by sequential extraction and K(d) but did not reduce the total metals in either substrate. However, plants had no effect on Cu, presumably because of the effective buffering of available Cu by organic matter in both solution and solid phases. A high density of plant roots was associated with increased leaching of metals.

  11. Metal-Organic Framework Modified Glass Substrate for Analysis of Highly Volatile Chemical Warfare Agents by Paper Spray Mass Spectrometry.

    Science.gov (United States)

    Dhummakupt, Elizabeth S; Carmany, Daniel O; Mach, Phillip M; Tovar, Trenton M; Ploskonka, Ann M; Demond, Paul S; DeCoste, Jared B; Glaros, Trevor

    2018-03-07

    Paper spray mass spectrometry has been shown to successfully analyze chemical warfare agent (CWA) simulants. However, due to the volatility differences between the simulants and real G-series (i.e., sarin, soman) CWAs, analysis from an untreated paper substrate proved difficult. To extend the analytical lifetime of these G-agents, metal-organic frameworks (MOFs) were successfully integrated onto the paper spray substrates to increase adsorption and desorption. In this study, several MOFs and nanoparticles were tested to extend the analytical lifetimes of sarin, soman, and cyclosarin on paper spray substrates. It was found that the addition of either UiO-66 or HKUST-1 to the paper substrate increased the analytical lifetime of the G-agents from less than 5 min detectability to at least 50 min.

  12. Variation of power generation at different buffer types and conductivities in single chamber microbial fuel cells

    KAUST Repository

    Nam, Joo-Youn

    2010-01-15

    Microbial fuel cells (MFCs) are operated with solutions containing various chemical species required for the growth of electrochemically active microorganisms including nutrients and vitamins, substrates, and chemical buffers. Many different buffers are used in laboratory media, but the effects of these buffers and their inherent electrolyte conductivities have not been examined relative to current generation in MFCs. We investigated the effect of several common buffers (phosphate, MES, HEPES, and PIPES) on power production in single chambered MFCs compared to a non-buffered control. At the same concentrations the buffers produced different solution conductivities which resulted in different ohmic resistances and power densities. Increasing the solution conductivities to the same values using NaCl produced comparable power densities for all buffers. Very large increases in conductivity resulted in a rapid voltage drop at high current densities. Our results suggest that solution conductivity at a specific pH for each buffer is more important in MFC studies than the buffer itself given relatively constant pH conditions. Based on our analysis of internal resistance and a set neutral pH, phosphate and PIPES are the most useful buffers of those examined here because pH was maintained close to the pKa of the buffer, maximizing the ability of the buffer to contribute to increase current generation at high power densities. © 2009 Elsevier B.V. All rights reserved.

  13. Variation of power generation at different buffer types and conductivities in single chamber microbial fuel cells.

    Science.gov (United States)

    Nam, Joo-Youn; Kim, Hyun-Woo; Lim, Kyeong-Ho; Shin, Hang-Sik; Logan, Bruce E

    2010-01-15

    Microbial fuel cells (MFCs) are operated with solutions containing various chemical species required for the growth of electrochemically active microorganisms including nutrients and vitamins, substrates, and chemical buffers. Many different buffers are used in laboratory media, but the effects of these buffers and their inherent electrolyte conductivities have not been examined relative to current generation in MFCs. We investigated the effect of several common buffers (phosphate, MES, HEPES, and PIPES) on power production in single chambered MFCs compared to a non-buffered control. At the same concentrations the buffers produced different solution conductivities which resulted in different ohmic resistances and power densities. Increasing the solution conductivities to the same values using NaCl produced comparable power densities for all buffers. Very large increases in conductivity resulted in a rapid voltage drop at high current densities. Our results suggest that solution conductivity at a specific pH for each buffer is more important in MFC studies than the buffer itself given relatively constant pH conditions. Based on our analysis of internal resistance and a set neutral pH, phosphate and PIPES are the most useful buffers of those examined here because pH was maintained close to the pK(a) of the buffer, maximizing the ability of the buffer to contribute to increase current generation at high power densities. Copyright 2009 Elsevier B.V. All rights reserved.

  14. Investigations on the passivity of iron in borate and phosphate buffers, pH 8.4

    International Nuclear Information System (INIS)

    Sieber, I.V.; Hildebrand, H.; Virtanen, S.; Schmuki, P.

    2006-01-01

    In the present work surface analytical experiments (XPS and AES) on the passive film on iron formed in borate and phosphate buffers (pH 8.4) have been carried out. In the passive film formed in phosphate buffer a significant amount of phosphates is found in the outer part of the film. Boron species are not significantly incorporated in the passive film formed in borate buffer. The mechanism of the reduction of the passive film depends strongly on the electrolyte composition. In borate buffer, cathodic polarization leads to reductive dissolution of the passive film whereas in phosphate buffer the passive film is converted into metallic iron without dissolution but via laterally inhomogeneously formation of an intermediate Fe(II) phosphate layer

  15. Buffer layer investigations on MFIS capacitors consisting of ferroelectric poly[vinylidene fluoride trifluoroethylene

    International Nuclear Information System (INIS)

    Henkel, K; Seime, B; Paloumpa, I; Mueller, K; Schmeisser, D

    2010-01-01

    In this paper we present capacitance-voltage (CV) measurements on metal-ferroelectric-insulator-semiconductor (MFIS) capacitors with poly[vinylidene fluoride trifluoroethylene] (P[VDF/TrFE] as ferroelectric layer and SiO 2 , Al 2 O 3 and HfO 2 as buffering insulator layer. In order to discuss our data in a quantitative manner we perform fits to the data based on a model proposed by Miller and McWorther. The improvement of the polarization values and subsequently its effect on the hysteresis of the CV curve by the successive shrinking of the buffer layer thickness and the following choice of a high-k buffer material is demonstrated. Our data underline that a saturated polarization of P[VDF/TrFE] cannot be controlled with a SiO 2 buffer layer and the insertion of a high-k buffer layer is essential for further improvements of the characteristics of MFIS stacks.

  16. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  17. Ultra-high current density thin-film Si diode

    Science.gov (United States)

    Wang, Qi [Littleton, CO

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  18. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    Science.gov (United States)

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  19. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  20. Preparation of Mica and Silicon Substrates for DNA Origami Analysis and Experimentation

    Science.gov (United States)

    Pillers, Michelle A.; Shute, Rebecca; Farchone, Adam; Linder, Keenan P.; Doerfler, Rose; Gavin, Corey; Goss, Valerie; Lieberman, Marya

    2015-01-01

    The designed nature and controlled, one-pot synthesis of DNA origami provides exciting opportunities in many fields, particularly nanoelectronics. Many of these applications require interaction with and adhesion of DNA nanostructures to a substrate. Due to its atomically flat and easily cleaned nature, mica has been the substrate of choice for DNA origami experiments. However, the practical applications of mica are relatively limited compared to those of semiconductor substrates. For this reason, a straightforward, stable, and repeatable process for DNA origami adhesion on derivatized silicon oxide is presented here. To promote the adhesion of DNA nanostructures to silicon oxide surface, a self-assembled monolayer of 3-aminopropyltriethoxysilane (APTES) is deposited from an aqueous solution that is compatible with many photoresists. The substrate must be cleaned of all organic and metal contaminants using Radio Corporation of America (RCA) cleaning processes and the native oxide layer must be etched to ensure a flat, functionalizable surface. Cleanrooms are equipped with facilities for silicon cleaning, however many components of DNA origami buffers and solutions are often not allowed in them due to contamination concerns. This manuscript describes the set-up and protocol for in-lab, small-scale silicon cleaning for researchers who do not have access to a cleanroom or would like to incorporate processes that could cause contamination of a cleanroom CMOS clean bench. Additionally, variables for regulating coverage are discussed and how to recognize and avoid common sample preparation problems is described. PMID:26274888

  1. Nanoscale “Quantum” Islands on Metal Substrates: Microscopy Studies and Electronic Structure Analyses

    Directory of Open Access Journals (Sweden)

    Da-Jiang Liu

    2010-07-01

    Full Text Available Confinement of electrons can occur in metal islands or in continuous films grown heteroepitaxially upon a substrate of a different metal or on a metallic alloy. Associated quantum size effects (QSE can produce a significant height-dependence of the surface free energy for nanoscale thicknesses of up to 10–20 layers. This may suffice to induce height selection during film growth. Scanning STM analysis has revealed remarkable flat-topped or mesa-like island and film morphologies in various systems. We discuss in detail observations of QSE and associated film growth behavior for Pb/Cu(111, Ag/Fe(100, and Cu/fcc-Fe/Cu(100 [A/B or A/B/A], and for Ag/NiAl(110 with brief comments offered for Fe/Cu3Au(001 [A/BC binary alloys]. We also describe these issues for Ag/5-fold i-Al-Pd-Mn and Bi/5-fold i-Al-Cu-Fe [A/BCD ternary icosohedral quasicrystals]. Electronic structure theory analysis, either at the level of simple free electron gas models or more sophisticated Density Functional Theory calculations, can provide insight into the QSE-mediated thermodynamic driving force underlying height selection.

  2. Dynamics of ultrathin metal films on amorphous substrates under fast thermal processing

    International Nuclear Information System (INIS)

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2007-01-01

    A mathematical model is developed to analyze the growth/decay rate of surface perturbations of an ultrathin metal film on an amorphous substrate (SiO 2 ). The formulation combines the approach of Mullins [W. W. Mullins, J. Appl. Phys. 30, 77 (1959)] for bulk surfaces, in which curvature-driven mass transport and surface deformation can occur by surface/volume diffusion and evaporation-condensation processes, with that of Spencer et al. [B. J. Spencer, P. W. Voorhees, and S. H. Davis, Phys. Rev. Lett. 67, 26 (1991)] to describe solid-state transport in thin films under epitaxial strain. Modifications of the Mullins model to account for thin-film boundary conditions result in qualitatively different dispersion relationships especially in the limit as kh o o is the unperturbed film height. The model is applied to study the relative rate of solid-state mass transport as compared to that of liquid phase dewetting in a thin film subjected to a fast thermal pulse. Specifically, we have recently shown that multiple cycles of nanosecond (ns) pulsed laser melting and resolidification of ultrathin metal films on amorphous substrates can lead to the formation of various types of spatially ordered nanostructures [J. Trice, D. Thomas, C. Favazza, R. Sureshkumar, and R. Kalyanaraman, Phys. Rev. B 75, 235439 (2007)]. The pattern formation has been attributed to the dewetting of the thin film by a hydrodynamic instability. In such experiments the film is in the solid state during a substantial fraction of each thermal cycle. However, results of a linear stability analysis based on the aforementioned model suggest that solid-state mass transport has a negligible effect on morphological changes of the surface. Further, a qualitative analysis of the effect of thermoelastic stress, induced by the rapid temperature changes in the film-substrate bilayer, suggests that stress relaxation does not appreciably contribute to surface deformation. Hence, surface deformation caused by liquid

  3. Research Progress on Laser Cladding Amorphous Coatings on Metallic Substrates

    Directory of Open Access Journals (Sweden)

    CHEN Ming-hui

    2017-01-01

    Full Text Available The microstructure and property of amorphous alloy as well as the limitations of the traditional manufacturing methods for the bulk amorphous alloy were briefly introduced in this paper.Combined with characteristics of the laser cladding technique,the research status of the laser cladding Fe-based,Zr-based,Ni-based,Cu-based and Al-based amorphous coatings on the metal substrates were mainly summarized.The effects of factors such as laser processing parameter,micro-alloying element type and content and reinforcing phase on the laser cladding amorphous coatings were also involved.Finally,the main problems and the future research directions of the composition design and control of the laser-cladded amorphous coating,the design and optimization of the laser cladding process,and the basic theory of the laser cladding amorphous coatings were also put forward finally.

  4. Redox Buffer Strength

    Science.gov (United States)

    de Levie, Robert

    1999-04-01

    The proper functioning of enzymes in bodily fluids requires that the pH be maintained within rather narrow limits. The first line of defense against large pH fluctuations in such fluids is the passive control provided by the presence of pH buffers. The ability of pH buffers to stabilize the pH is indicated by the buffer value b introduced in 1922 by van Slyke. It is equally important for many enzymes that the redox potential is kept within a narrow range. In that case, stability of the potential is most readily achieved with a redox buffer. In this communication we define the redox buffer strength by analogy with acid-base buffer strength.

  5. The effect of polyimide imidization conditions on adhesion strength of thin metal films on polyimide substrates

    CERN Document Server

    Yoo, S H

    1999-01-01

    The effects of Ar sup + RF plasma precleaning and polyimide curing conditions on the peel strength between Al thin films and polyimides have been studied. The BPDA-PDA polyimide precursor of PI-2611 (Du pont) was spin-coated and cured under various imidization conditions. The cured polyimide substrates were in-situ AR sup + RF plasma cleaned prior to metal deposition. Al-1 % Si-0.5 % Cu thin films were deposited onto the polyimide substrates by using DC magnetron sputtering. The peel strength was enhanced by Ar sup + RF plasma precleaning. The Al/modified PI specimen failed cohesively in the polyimide. The polyimide curing conditions strongly affect the peel strength in the Al/modified PI system.

  6. The stability of DLC film on nitrided CoCrMo alloy in phosphate buffer solution

    International Nuclear Information System (INIS)

    Zhang, T.F.; Liu, B.; Wu, B.J.; Liu, J.; Sun, H.; Leng, Y.X.; Huang, N.

    2014-01-01

    CoCrMo alloy is often used as the material for metal artificial joint, but metal debris and metal ions are the main concern on tissue inflammation or tissue proliferation for metal prosthesis. In this paper, nitrogen ion implantation and diamond like carbon (DLC) film composite treatment was used to reduce the wear and ion release of biomedical CoCrMo substrate. The mechanical properties and stability of N-implanted/DLC composite layer in phosphate buffer solution (PBS) was evaluated to explore the full potential of N-implanted/DLC composite layer as an artificial joint surface modification material. The results showed that the DLC film on N implanted CoCrMo (N-implanted/DLC composite layer) had the higher surface hardness and wear resistance than the DLC film on virgin CoCrMo alloy, which was resulted from the strengthen effect of the N implanted layer on CoCrMo alloy. After 30 days immersion in PBS, the structure of DLC film on virgin CoCrMo or on N implanted CoCrMo had no visible change. But the adhesion and corrosion resistance of DLC on N implanted CoCrMo (N-implanted/DLC composite layer) was weakened due to the dissolution of the N implanted layer after 30 days immersion in PBS. The adhesion reduction of N-implanted/DLC composite layer was adverse for in vivo application in long term. So researcher should be cautious to use N implanted layer as an inter-layer for increasing CoCrMo alloy load carrying capacity in vivo environment.

  7. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  8. A facile metal-free "grafting-from" route from acrylamide-based substrate toward complex macromolecular combs

    KAUST Repository

    Zhao, Junpeng

    2013-01-01

    High-molecular-weight poly(N,N-dimethylacrylamide-co-acrylamide) was used as a model functional substrate to investigate phosphazene base (t-BuP 4)-promoted metal-free anionic graft polymerization utilizing primary amide moieties as initiating sites. The (co)polymerization of epoxides was proven to be effective, leading to macromolecular combs with side chains being single- or double-graft homopolymer, block copolymer and statistical copolymer. © 2013 The Royal Society of Chemistry.

  9. Evaluation of the barrier capability of Zr-Si films with different substrate temperature for Cu metallization

    International Nuclear Information System (INIS)

    Wang Ying; Cao Fei; Ding Minghui; Shao Lei

    2009-01-01

    Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 deg. C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 deg. C) with higher mass density make the Cu/Zr-Si(300 deg. C)/Si sample more stable. The appearance of Cu 3 Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.

  10. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  11. Oxidative damage to collagen and related substrates by metal ion/hydrogen peroxide systems

    DEFF Research Database (Denmark)

    Hawkins, C L; Davies, Michael Jonathan

    1997-01-01

    . In this study electron paramagnetic resonance spectroscopy with spin trapping has been used to identify radicals formed on collagen and related materials by metal ion-H2O2 mixtures. Attack of the hydroxyl radical, from a Fe(II)-H2O2 redox couple, on collagen peptides gave signals from both side chain (.CHR...... are similar to those from the alpha-carbon site of peptides and the side-chain of lysine. Enzymatic digestion of the large, protein-derived, species releases similar low-molecular-weight adducts. The metal ion employed has a dramatic effect on the species observed. With Cu(I)-H2O2 or Cu(II)-H2O2 instead of Fe(II)-H......2O2, evidence has been obtained for: i) altered sites of attack and fragmentation, ii) C-terminal decarboxylation, and iii) hydrogen abstraction at N-terminal alpha-carbon sites. This altered behaviour is believed to be due to the binding of copper ions to some substrates and hence site...

  12. Development of Ceramic Coating on Metal Substrate using Industrial Waste and Ore Minerals

    Science.gov (United States)

    Bhuyan, S. K.; Thiyagarajan, T. K.; Mishra, S. C.

    2017-02-01

    The technological advancement in modern era has a boon for enlightening human life; but also is a bane to produce a huge amount of (industrial) wastes, which is of great concern for utilization and not to create environmental threats viz. polution etc. In the present piece of research work, attempts have been made to utilize fly ash (wastes of thermal power plants) and along with alumina bearing ore i.e. bauxite, for developing plasma spray ceramic coatings on metals. Fly ash and with 10 and 20% bauxite addition is used to deposit plasma spray coatings on a metal substrate. The surface morphology of the coatings deposited at different power levels of plasma spraying investigated through SEM and EDS analysis. The coating thickness is measured. The porosity levels of the coatings are evaluated. The coating hardness isalso measured. This piece of research work will be beneficial for future development and use of industrial waste and ore minerals for high-valued applications.

  13. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  14. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Seveno, R. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)]. E-mail: raynald.seveno@univ-nantes.fr; Braud, A. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France); Gundel, H.W. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)

    2005-12-22

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O{sub 3}, PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO{sub 3}) by chemical solution deposition is studied. The SrRuO{sub 3} thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO{sub 3} layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 {mu}C/cm were found.

  15. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    Science.gov (United States)

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  16. Analysis of influence of buffer layers on microwave propagation through high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Ceremuga, J.; Barton, M.; Miranda, F.

    1994-01-01

    Methods of analysis of microwave propagation through superconducting thin films with buffer layers on dielectric substrates have been discussed. Expressions describing the transmission coefficient S 21 through the structure and the complex conductivity sigma of a superconductor in an analytical form have been derived. The derived equations are valid for microwave propagation in waveguides as well as in free space with relevant definition of impedances. Using the obtained solutions, the influences of buffer layers' parameters (thickness, relative permittivity and loss tangent) on the transmission coefficient has been investigated using MATLAB. Simulations have been performed for 10 GHz transmission through YBa 2 Cu 3 O 7 films on sapphire with SrTiO 3 and CeO 2 buffer layers and on silicon with CaF 2 and YSZ buffer layers. To illustrate the simulations, measurements of the transmission through YBCO film on sapphire with SrTiO 3 buffer layer have been performed. It has been shown that even lossy buffer layers have very little impact (smaller than 1% in magnitude and 0.3% in phase) on the transmission coefficient through superconducting thin films, providing their thickness is below 10 mu m. (author)

  17. High Performance Nano-Constituent Buffer Layer Thin Films to Enable Low Cost Integrated On-the-Move Communications Systems

    National Research Council Canada - National Science Library

    Cole, M. W; Nothwang, W. D; Hubbard, C; Ngo, E; Hirsch, S

    2004-01-01

    .... Utilizing a coplanar device design we successfully designed, fabricated, characterized, and optimized a high performance Ta2O5 thin film passive buffer layer on Si substrates, which will allow...

  18. A simple method to prepare self-assembled organic-organic heterobilayers on metal substrates

    Directory of Open Access Journals (Sweden)

    L. D. Sun

    2011-06-01

    Full Text Available We demonstrate a self-assembly based simple method to prepare organic-organic heterobilayers on a metal substrate. By either sequential- or co-deposition of para-sexiphenyl (p-6P and pentacene molecules onto the Cu(110 surface in ultrahigh vacuum, p-6P/pentacene/Cu(110 heterobilayer is synthesized at room temperature. The layer sequence of the heterostructure is independent of the growth scenario indicating the p-6P/pentacene/Cu(110 is a self-assembled structure with lowest energy. Besides, the bilayer shows a very high orientational ordering and is thermally stable up to 430K.

  19. Development of Textured Buffer Layer on Metal Tapes for Oxide Superconductors

    National Research Council Canada - National Science Library

    Bhattacharya, Rabi

    2002-01-01

    .... UES, in collaboration with Argonne National Laboratory, has developed a multilayer architecture based on in-plane textured MgO film by inclined substrate deposition technique oristatic and moving Hastelloy substrates...

  20. Piezoelectric characterization of Pb(Zr,Ti)O3 thin films deposited on metal foil substrates by dip coating

    Science.gov (United States)

    Hida, Hirotaka; Hamamura, Tomohiro; Nishi, Takahito; Tan, Goon; Umegaki, Toshihito; Kanno, Isaku

    2017-10-01

    We fabricated the piezoelectric bimorphs composed of Pb(Zr,Ti)O3 (PZT) thin films on metal foil substrates. To efficiently inexpensively manufacture piezoelectric bimorphs with high flexibility, 1.2-µm-thick PZT thin films were directly deposited on both surfaces of 10- and 20-µm-thick bare stainless-steel (SS) foil substrates by dip coating with a sol-gel solution. We confirmed that the PZT thin films deposited on the SS foil substrates at 500 °C or above have polycrystalline perovskite structures and the measured relative dielectric constant and dielectric loss were 323-420 and 0.12-0.17, respectively. The PZT bimorphs were demonstrated by comparing the displacements of the cantilever specimens driven by single- and double-side PZT thin films on the SS foil substrates under the same applied voltage. We characterized the piezoelectric properties of the PZT bimorphs and the calculated their piezoelectric coefficient |e 31,f| to be 0.3-0.7 C/m2.

  1. Enhanced Optical and Electrical Properties of TiO{sub 2} Buffered IGZO/TiO{sub 2} Bi-Layered Films

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Hyun-Joo; Kim, Daeil [University of Ulsan, Ulsan (Korea, Republic of)

    2016-08-15

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO{sub 2}-deposited glass substrate to determine the effect of the thickness of a thin TiO{sub 2} buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO{sub 2} buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO{sub 2} buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO{sub 2} bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO{sub 2} bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  2. Effects of buffer layer annealing temperature on the structural and optical properties of hydrothermal grown ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.Q.; Kim, C.R.; Lee, J.Y.; Heo, J.H.; Shin, C.M. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Lee, H.C. [Department of Mechatronics Engineering, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Jung, W.G. [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-02-01

    ZnO was deposited on bare Si(1 0 0), as-deposited, and annealed ZnO/Si(1 0 0) substrates by hydrothermal synthesis. The effects of a ZnO buffer layer and its thermal annealing on the properties of the ZnO deposited by hydrothermal synthesis were studied. The grain size and root mean square (RMS) roughness values of the ZnO buffer layer increased after thermal annealing of the buffer layer. The effect of buffer layer annealing temperature on the structural and optical properties was investigated by photoluminescence, X-ray diffraction, atomic force microscopy, and scanning electron microscopy. Hydrothermal grown ZnO deposited on ZnO/Si(1 0 0) annealed at 750 deg. C with the concentration of 0.3 M exhibits the best structural and optical properties.

  3. Method for measuring particulate and gaseous metals in a fluid stream, device for measuring particulate and gaseous metals in a fluid stream

    Science.gov (United States)

    Farber, Paul S.; Huang, Hann-Shen

    2001-01-01

    A method for analyzing metal in a fluid is provided comprising maintaining a first portion of a continuous filter media substrate at a temperature coinciding with the phase in which the metal is to be analyzed; contacting the fluid to a first portion of said substrate to retain the metal on the first portion of said substrate; preventing further contact of the fluid to the first portion of substrate; and contacting the fluid to a second portion of said substrate to retain metal on the second portion of the said substrate while simultaneously analyzing the first portion for metal. Also provided is a device for the simultaneous monitoring and analysis of metal in a fluid comprising a continuous filter media substrate; means for maintaining a first portion of said filter media substrate at a temperature coinciding with the phase in which the metal is to be analyzed; a means for contacting the fluid to the first portion of said substrate; a means for preventing further contact of the fluid to the first portion of substrate; a means for contacting the fluid to a second portion of said substrate to retain metal on the second portion of the said substrate; and means for analyzing the first portion for metal.

  4. Growth and characterization of β-In N films on Mg O: the key role of a β-Ga N buffer layer in growing cubic In N

    International Nuclear Information System (INIS)

    Navarro C, H.; Perez C, M.; Rodriguez, A. G.; Lopez L, E.; Vidal, M. A.

    2012-01-01

    Cubic In N samples were grown on Mg O (001) substrates by gas source molecular beam epitaxy. In general, we find that In N directly deposited onto the Mg O substrate results in polycrystalline or columnar films of hexagonal symmetry. We find that adequate conditions to grow the cubic phase of this compound require the growth of an initial cubic Ga N buffer interlayer (β-t Ga N) on the Mg O surface. Subsequently, the growth conditions were optimized to obtain good photoluminescence (Pl) emission. The resultant In N growth is mostly cubic, with very small hexagonal inclusions, as confirmed by X-ray diffraction and scanning electron microscopy studies. Good crystalline quality requires that the samples to be grown under rich Indium metal flux. The cubic β-t In N/Ga N/Mg O samples exhibit a high signal to noise ratio for Pl at low temperatures (20 K). The Pl is centered at O.75 eV and persist at room temperature. (Author)

  5. Substrate-Based Noble-Metal Nanomaterials: Shape Engineering and Applications

    Science.gov (United States)

    Hajfathalian, Maryam

    Nanostructures have potential for use in state-of-the-art applications such as sensing, imaging, therapeutics, drug delivery, and electronics. The ability to fabricate and engineer these nanoscale materials is essential for the continued development of such devices. Because the morphological features of nanomaterials play a key role in determining chemical and physical properties, there is great interest in developing and improving methods capable of controlling their size, shape, and composition. While noble nanoparticles have opened the door to promising applications in fields such as imaging, cancer targeting, photothermal treatment, drug delivery, catalysis and sensing, the synthetic processes required to form these nanoparticles on surfaces are not well-developed. Herein is a detailed account on efforts for adapting established solution-based seed-mediated synthetic protocols to structure in a substrate-based platform. These syntheses start by (i) defining heteroepitaxially oriented nanostructured seeds at site-specific locations using lithographic or directed-assembly techniques, and then (ii) transforming the seeds using either a solution or vapor phase processing route to activate kinetically- or thermodynamically-driven growth modes, to arrive at nanocrystals with complex and useful geometries. The first series of investigations highlight synthesis-routes based on heterogeneous nucleation, where templates serve as nucleation sites for metal atoms arriving in the vapor phase. In the first research direction, the vapor-phase heterogeneous nucleation of Ag on Au was carried out at high temperatures, where the Ag vapor was sourced from a sublimating foil onto adjacent Au templates. This process transformed both the composition and morphology of the initial Au Wulff-shaped nanocrystals to a homogeneous AuAg nanoprism. In the second case, the vapor-phase heterogeneous nucleation of Cu atoms on Au nanocrystal templates was investigated by placing a Cu foil next

  6. Using fractional order method to generalize strengthening generating operator buffer operator and weakening buffer operator

    OpenAIRE

    Wu, L.; Liu, S.; Yang, Yingjie

    2016-01-01

    Traditional integer order buffer operator is extended to fractional order buffer operator, the corresponding relationship between the weakening buffer operator and the strengthening buffer operator is revealed. Fractional order buffer operator not only can generalize the weakening buffer operator and the strengthening buffer operator, but also realize tiny adjustment of buffer effect. The effectiveness of GM(1,1) with the fractional order buffer operator is validated by six cases.

  7. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  8. Performance Characteristics of Bio-Inspired Metal Nanostructures as Surface-Enhanced Raman Scattered (SERS) Substrates.

    Science.gov (United States)

    Areizaga-Martinez, Hector I; Kravchenko, Ivan; Lavrik, Nickolay V; Sepaniak, Michael J; Hernández-Rivera, Samuel P; De Jesús, Marco A

    2016-09-01

    The fabrication of high-performance plasmonic nanomaterials for bio-sensing and trace chemical detection is a field of intense theoretical and experimental research. The use of metal-silicon nanopillar arrays as analytical sensors has been reported with reasonable results in recent years. The use of bio-inspired nanocomposite structures that follow the Fibonacci numerical architecture offers the opportunity to develop nanostructures with theoretically higher and more reproducible plasmonic fields over extended areas. The work presented here describes the nanofabrication process for a series of 40 µm × 40 µm bio-inspired arrays classified as asymmetric fractals (sunflower seeds and romanesco broccoli), bilaterally symmetric (acacia leaves and honeycombs), and radially symmetric (such as orchids and lily flowers) using electron beam lithography. In addition, analytical capabilities were evaluated using surface-enhanced Raman scattering (SERS). The substrate characterization and SERS performance of the developed substrates as the strategies to assess the design performance are presented and discussed. © The Author(s) 2016.

  9. Two-dimensional discrete dislocation models of deformation in polycrystalline thin metal films on substrates

    International Nuclear Information System (INIS)

    Hartmaier, Alexander; Buehler, Markus J.; Gao, Huajian

    2005-01-01

    The time-dependent irreversible deformation of polycrystalline thin metal films on substrates is investigated using two-dimensional discrete dislocation dynamics models incorporating essential parameters determined from atomistic studies. The work is focused on the mechanical properties of uncapped films, where diffusive processes play an important role. The simulations incorporate dislocation climb along the grain boundary as well as conservative glide. Despite of severe limitations of the two-dimensional dislocation models, the simulation results are found to largely corroborate experimental findings on different dominant deformation mechanisms at different film thicknesses

  10. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  11. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  12. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1−xN Buffer Layer

    Directory of Open Access Journals (Sweden)

    Chang-Ju Lee

    2017-07-01

    Full Text Available The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded AlxGa−xN buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded AlxGa1−xN buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10−2 A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  13. Study of shallow trench isolation technology with a poly-Si sidewall buffer layer

    International Nuclear Information System (INIS)

    Juang, M H; Chen, C L; Jang, S L

    2008-01-01

    Shallow trench isolation (STI) technology with a poly-Si buffer layer at the trench sidewall has been studied. At the densification temperature of 950 °C, for the samples without using a poly-Si buffer layer, the resulting junction shows a leakage of about 700 nA cm −2 for a diode area of 100 × 100 µm 2 , primarily due to large peripheral junction leakage. The large leakage is ascribed to the defect generation caused by a thermally induced stress near the trench sidewall. The usage of a poly-Si buffer layer in the trench sidewall is found to significantly improve the junction characteristics. As a result, when a 40 nm poly-Si buffer layer is sandwiched between the Si substrate and the trench-fill silicon oxide, the resultant junctions show a leakage of only about 8 nA cm −2 . This result may reflect the considerably reduced thermally induced stress near the trench sidewall. Furthermore, at the densification temperature of 1100 °C, the usage of a poly-Si buffer layer can help to achieve excellent junctions with a leakage smaller than 5 nA cm −2 for a diode area of 100 × 100 µm 2

  14. Influence of pH and oxygen content of buffer solutions on the corrosion behaviour of metallic materials

    International Nuclear Information System (INIS)

    Wiedemann, K.H.

    1977-05-01

    The application of solutions to the decontamination of materials in nuclear installations is based on the condition that their corrosion behaviour is clearly understood. Since electrochemical corrosion is due to cathodic and anodic partial reactions which are influenced in different ways by the pH of the solution and the oxygen content it is suggested that the results of electrochemical experiments with buffer solutions be used as a model for predicting the corrosion behaviour of materials in other solutions. In the tests described here potentio-kinetic current-potential-curves have been traced and galvanic corrosion tests have been made. The results obtained in ascorbic acid, potassium hydrogen phthalate, ammonium citrate and acetate, sodium and potassium tartrate, ammonium hydrogen phosphate, sodium carbonate, hexamethylene tetramin, ethylene diamine enable - on the basis of summarized current-potential-curves - the metals studied to be classified in four groups characterized by clear differences concerning the influence of pH on the corrosion behaviour. (Auth.)

  15. Dynamics of ultrathin metal films on amorphous substrates under fast thermal processing

    Science.gov (United States)

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2007-11-01

    A mathematical model is developed to analyze the growth/decay rate of surface perturbations of an ultrathin metal film on an amorphous substrate (SiO2). The formulation combines the approach of Mullins [W. W. Mullins, J. Appl. Phys. 30, 77 (1959)] for bulk surfaces, in which curvature-driven mass transport and surface deformation can occur by surface/volume diffusion and evaporation-condensation processes, with that of Spencer etal . [B. J. Spencer, P. W. Voorhees, and S. H. Davis, Phys. Rev. Lett. 67, 26 (1991)] to describe solid-state transport in thin films under epitaxial strain. Modifications of the Mullins model to account for thin-film boundary conditions result in qualitatively different dispersion relationships especially in the limit as kho≪1, where k is the wavenumber of the perturbation and ho is the unperturbed film height. The model is applied to study the relative rate of solid-state mass transport as compared to that of liquid phase dewetting in a thin film subjected to a fast thermal pulse. Specifically, we have recently shown that multiple cycles of nanosecond (ns) pulsed laser melting and resolidification of ultrathin metal films on amorphous substrates can lead to the formation of various types of spatially ordered nanostructures [J. Trice, D. Thomas, C. Favazza, R. Sureshkumar, and R. Kalyanaraman, Phys. Rev. B 75, 235439 (2007)]. The pattern formation has been attributed to the dewetting of the thin film by a hydrodynamic instability. In such experiments the film is in the solid state during a substantial fraction of each thermal cycle. However, results of a linear stability analysis based on the aforementioned model suggest that solid-state mass transport has a negligible effect on morphological changes of the surface. Further, a qualitative analysis of the effect of thermoelastic stress, induced by the rapid temperature changes in the film-substrate bilayer, suggests that stress relaxation does not appreciably contribute to surface

  16. Active vacuum brazing of CNT films to metal substrates for superior electron field emission performance

    Science.gov (United States)

    Longtin, Rémi; Sanchez-Valencia, Juan Ramon; Shorubalko, Ivan; Furrer, Roman; Hack, Erwin; Elsener, Hansrudolf; Gröning, Oliver; Greenwood, Paul; Rupesinghe, Nalin; Teo, Kenneth; Leinenbach, Christian; Gröning, Pierangelo

    2015-02-01

    The joining of macroscopic films of vertically aligned multiwalled carbon nanotubes (CNTs) to titanium substrates is demonstrated by active vacuum brazing at 820 °C with a Ag-Cu-Ti alloy and at 880 °C with a Cu-Sn-Ti-Zr alloy. The brazing methodology was elaborated in order to enable the production of highly electrically and thermally conductive CNT/metal substrate contacts. The interfacial electrical resistances of the joints were measured to be as low as 0.35 Ω. The improved interfacial transport properties in the brazed films lead to superior electron field-emission properties when compared to the as-grown films. An emission current of 150 μA was drawn from the brazed nanotubes at an applied electric field of 0.6 V μm-1. The improvement in electron field-emission is mainly attributed to the reduction of the contact resistance between the nanotubes and the substrate. The joints have high re-melting temperatures up to the solidus temperatures of the alloys; far greater than what is achievable with standard solders, thus expanding the application potential of CNT films to high-current and high-power applications where substantial frictional or resistive heating is expected.

  17. Synthesis and characterization of Cerium-doped hydroxyapatite/polylactic acid composite coatings on metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Qiuhua, E-mail: yuanqiuh@szu.edu.cn; Qin, Caoping; Wu, Jianbo; Xu, Anping; Zhang, Ziqiang; Liao, Junquan; Lin, Songxin; Ren, Xiangzhong; Zhang, Peixin

    2016-10-01

    Ce-doped hydroxyapatite/polylactic acid (HA/PLA) composites serving as implant coatings have rarely been studied by other researchers in recent years. This paper was focused to study the existence of Ce ions in structure, chemical composition and surface morphology of HA and its composite coatings. Ce-doped HA powders were synthesized by chemical precipitation method with different Ce molar fractions (0(pure HA), 0.5 mol%, 1 mol% and 2 mol%). And Ce-doped HA/PLA composite coatings were fabricated for the first time on stainless steel substrates by spin coating technique. The obtained samples were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), scanning electron microscopy (SEM) coupled with energy dispersive X-ray detector (EDX), thermo gravimetric-differential thermal analysis (TG-DTA) and X-ray photoelectron spectroscopy (XPS). The results showed that Ce ions were doped into the crystal lattice of apatite successfully. The (Ce + Ca)/P atomic ratios in the doped HA/PLA samples ranged from 1.614 to 1.673, which were very close to the theoretical value of 1.67 for the stoichiometric HA. The addition of PLA could keep metal substrates from catalyzing the decomposition of HA. TG-DTA analysis indicated that Ce-doped HA powder had high thermal stability, and the SEM micrographs revealed that the surface topography of Ce-doped HA/PLA composite coatings was uniform and dense when the Ce molar fraction was 2 mol%. XPS results indicated that the Ce ions doped in HA showed mixed valences of Ce{sup 3+} and Ce{sup 4+}. - Highlights: • Ce-doped HA composite coatings were synthesized by spin-coating technique for the first time. • Ce ions were demonstrated to dope into HA crystal lattice successfully. • The addition of PLA could keep metal substrates from catalyzing the decomposition of HA. • XPS results showed that Ce ions doped in HA have mixed valences of Ce{sup 3+} and Ce{sup 4+}.

  18. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  19. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    Science.gov (United States)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  20. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  1. Development and characterization of a metallic substrat for nanostructured membranes in the separation of gas mixtures; Entwicklung und Charakterisierung eines metallischen Substrats fuer nanostrukturierte Gastrennmembranen

    Energy Technology Data Exchange (ETDEWEB)

    Brands, Katharina

    2010-07-01

    In order to minimize the further increase of CO{sub 2}-content in the atmosphere, efforts are made to separate and store CO{sub 2} from exhaust gases of fossil power plants. Beside well-established separation techniques like chemical scrubber, the application of membrane technology is intensively investigated. One focus of this thesis is the development of metal supported substrates for microporous ceramic gas separation membranes, which are expected to have a higher mechanical stability than ceramic supported substrates. Starting with commercial porous steel substrates, interlayers are applied by wet powder spraying. For the interlayers the materials 1.4404-stainless steel and TiO{sub 2} or 1.4845-stainless steel and yttria stabilized zirconia (8YSZ) are chosen. The interlayers have to be defect-free, as minimal defects can deteriorate the membrane performance. By a subsequent mechanical treatment and an adjustment of the viscosity of the 8YSZ-suspension, the surface quality is considerably increased. At the same time the limits of the wet powder spraying process become obvious, as sporadic agglomerates, which are formed during the spraying process, cannot be totally avoided. The metal supported substrates are characterized regarding to the interaction between steel and ceramic, the roughness of the layers compared to polished ceramic substrates, the mechanical properties and the flow through the substrates. Furthermore microporous ceramic gas separation membranes are deposited on wet powder sprayed and dip coated substrates. The selectivity of these membranes is above Knudsen selectivity. The other focus of the thesis is the exposure of substrates and membranes to real flue gas conditions. Beside microporous ceramic membranes polymer membranes are analysed as a reference, which show a higher state of development compared to microporous ceramic membranes. For this purpose a test bed is built up in the EnBW ''Rheinhafendampfkraftwerk RDK 7&apos

  2. High-resolution electron microscopy study of Ni81Fe19 film with Co33Cr67 buffer layer

    International Nuclear Information System (INIS)

    Xu, Q.Y.; Wang, Z.M.; Shen, F.; Du, Y.W.; Zhang, Z.

    2003-01-01

    The anisotropic magnetoresistance (AMR) in permalloy Ni 81 Fe 19 film deposited on a 1.2 nm Co 33 Cr 67 buffer layer was significantly enhanced. The high-resolution electron microscopy was used to study the microstructure of Ni 81 Fe 19 film with and without Co 33 Cr 67 buffer layer. It was found that Co 33 Cr 67 buffer layer can induce good (1 1 1) texture, while without Co 33 Cr 67 buffer layer, Ni 81 Fe 19 film show randomly oriented grain structure. The Δρ/ρ enhancement is attributed to the decrease in the resistivity ρ of the Ni 81 Fe 19 film due to the formation of the large (1 1 1) textured grains in Ni 81 Fe 19 film with Co 33 Cr 67 buffer layer. However, the surface roughness of substrate may limit the (1 1 1) textured grain size and induce additional grain boundaries in Ni 81 Fe 19 film with Co 33 Cr 67 buffer layer, limit the enhancement of the AMR effect

  3. A THEORETICAL DISCUSSION OF THE ECONOMIC EFFECTS OF BUFFER STOCKS AND BUFFER FUNDS

    OpenAIRE

    Simmons, Phil

    1988-01-01

    It has been established that the absence of risk markets justifies market intervention in principle. The form of intervention that has been discussed most widely in the literature is the buffer stock. This paper points out that other forms of intervention, specifically buffer funds, are likely to perform better. The analysis shows that buffer funds are likely to outperform buffer stocks because they address market failure more directly. A sub-theme developed in this paper is that since buffer...

  4. Vermiculite's strong buffer capacity renders it unsuitable for studies of acidity on soybean (Glycine max L.) nodulation and growth.

    Science.gov (United States)

    Indrasumunar, Arief; Gresshoff, Peter M

    2013-11-14

    Vermiculite is the most common soil-free growing substrate used for plants in horticultural and scientific studies due to its high water holding capacity. However, some studies are not suitable to be conducted in it. The described experiments aimed to test the suitability of vermiculite to study the effect of acidity on nodulation and growth of soybean (Glycine max L.). Two different nutrient solutions (Broughton & Dilworth, and modified Herridge nutrient solutions) with or without MES buffer addition were used to irrigate soybean grown on vermiculite growth substrates. The pH of nutrient solutions was adjusted to either pH 4.0 or 7.0 prior its use. The nodulation and vegetative growth of soybean plants were assessed at 3 and 4 weeks after inoculation. The unsuitability of presumably inert vermiculite as a physical plant growth substrate for studying the effects of acidity on soybean nodulation and plant growth was illustrated. Nodulation and growth of soybean grown in vermiculite were not affected by irrigation with pH-adjusted nutrient solution either at pH 4.0 or 7.0. This was reasonably caused by the ability of vermiculite to neutralise (buffer) the pH of the supplied nutrient solution (pH 2.0-7.0). Due to its buffering capacity, vermiculite cannot be used as growth support to study the effect of acidity on nodulation and plant growth.

  5. Direct Metal Deposition of H13 Tool Steel on Copper Alloy Substrate: Parametric Investigation

    Science.gov (United States)

    Imran, M. Khalid; Masood, S. H.; Brandt, Milan

    2015-12-01

    Over the past decade, researchers have demonstrated interest in tribology and prototyping by the laser aided material deposition process. Laser aided direct metal deposition (DMD) enables the formation of a uniform clad by melting the powder to form desired component from metal powder materials. In this research H13 tool steel has been used to clad on a copper alloy substrate using DMD. The effects of laser parameters on the quality of DMD deposited clad have been investigated and acceptable processing parameters have been determined largely through trial-and-error approaches. The relationships between DMD process parameters and the product characteristics such as porosity, micro-cracks and microhardness have been analysed using scanning electron microscope (SEM), image analysis software (ImageJ) and microhardness tester. It has been found that DMD parameters such as laser power, powder mass flow rate, feed rate and focus size have an important role in clad quality and crack formation.

  6. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  7. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  8. Evaluation of the nanotube intrinsic resistance across the tip-carbon nanotube-metal substrate junction by Atomic Force Microscopy.

    Science.gov (United States)

    Dominiczak, Maguy; Otubo, Larissa; Alamarguy, David; Houzé, Frédéric; Volz, Sebastian; Noël, Sophie; Bai, Jinbo

    2011-04-14

    Using an atomic force microscope (AFM) at a controlled contact force, we report the electrical signal response of multi-walled carbon nanotubes (MWCNTs) disposed on a golden thin film. In this investigation, we highlight first the theoretical calculation of the contact resistance between two types of conductive tips (metal-coated and doped diamond-coated), individual MWCNTs and golden substrate. We also propose a circuit analysis model to schematize the «tip-CNT-substrate» junction by means of a series-parallel resistance network. We estimate the contact resistance R of each contribution of the junction such as Rtip-CNT, RCNT-substrate and Rtip-substrate by using the Sharvin resistance model. Our final objective is thus to deduce the CNT intrinsic radial resistance taking into account the calculated electrical resistance values with the global resistance measured experimentally. An unwished electrochemical phenomenon at the tip apex has also been evidenced by performing measurements at different bias voltages with diamond tips. For negative tip-substrate bias, a systematic degradation in color and contrast of the electrical cartography occurs, consisting of an important and non-reversible increase of the measured resistance. This effect is attributed to the oxidation of some amorphous carbon areas scattered over the diamond layer covering the tip. For a direct polarization, the CNT and substrate surface can in turn be modified by an oxidation mechanism.

  9. Numerical analysis of the influence of buffer layer thickness on the residual stresses in YBCO/La2Zr2O7/Ni superconducting materials

    International Nuclear Information System (INIS)

    Celik, Erdal; Sayman, Onur; Karakuzu, Ramazan; Ozman, Yilmaz

    2007-01-01

    The present paper addresses a numerical investigation of the influence of buffer layer thickness on the residual stress in YBCO/La 2 Zr 2 O 7 /Ni architectured materials under cryogenic conditions by using classical lamination theory (CLT) and finite element method (FEM) for coated conductor applications. YBCO/La 2 Zr 2 O 7 multilayer films were fabricated on Ni tape substrate using reel-to-reel sol-gel and pulse laser deposition (PLD) systems. The microstructural evolution of high temperature superconducting YBCO film and buffer layers with La 2 Zr 2 O 7 configuration grown on textured Ni tape substrates was investigated by using a scanning electron microscope (SEM). Thermal stress analysis of YBCO/La 2 Zr 2 O 7 /Ni multilayer sample was performed by using CLT in the temperature range of 298-175 K in liquid helium media. The YBCO/La 2 Zr 2 O 7 /Ni sample strip was solved by using FEM for linear or nonlinear cases in the temperature range of 298-3 K in liquid helium media. SEM observations revealed that crack-free, pinhole-free, continuous superconducting film and buffer layer were obtained by sol-gel and PLD systems. In addition to microstructural observations, it was found that the largest compressive stresses and failure occur in La 2 Zr 2 O 7 buffer layer due to its smallest thermal expansion coefficient. The thickness of La 2 Zr 2 O 7 buffer layer affects the failure. The stress component of σ x is the smallest in Ni tape substrate due to its largest thickness

  10. COMBINATIONS OF BUFFER-STOCKS AND BUFFER-FUNDS FOR WOOL PRICE STABILISATION IN AUSTRALIA

    OpenAIRE

    Moir, Brian; Piggott, Roley R.

    1991-01-01

    In this paper a preliminary analysis is presented of a combined buffer-fund and buffer-stock as an alternative to a pure buffer-fund or a pure buffer stock for stabilising wool prices. The alternatives analysed are designed so that each provides the same prices to producers as did the Reserve Price Scheme over the period of analysis. Least-cost combinations of policy instruments are derived. The results show that there is considerable potential for cost savings to be made by combining buffer-...

  11. Effects of Anodic Buffer Layer in Top-Illuminated Organic Solar Cell with Silver Electrodes

    Directory of Open Access Journals (Sweden)

    Tien-Lung Chiu

    2013-01-01

    Full Text Available An efficient ITO-free top-illuminated organic photovoltaic (TOPV based on small molecular planar heterojunction was achieved by spinning a buffer layer of poly(3,4-ethylenedioxythiophene:poly(styrenesulfonate (PEDOT:PSS, on the Ag-AgOx anode. The PEDOT:PSS thin film separates the active layer far from the Ag anode to prevent metal quenching and redistributes the strong internal optical field toward dissociated interface. The thickness and morphology of this anodic buffer layer are the key factors in determining device performances. The uniform buffer layer contributes a large short-circuit current and open-circuit voltage, benefiting the final power conversion efficiency (PCE. The TOPV device with an optimal PEDOT:PSS thickness of about 30 nm on Ag-AgOx anode exhibits the maximum PCE of 1.49%. It appreciates a 1.37-fold enhancement in PCE over that of TOPV device without buffer layer.

  12. Lapped substrate for enhanced backsurface reflectivity in a thermophotovoltaic energy conversion system

    Science.gov (United States)

    Baldasaro, Paul F; Brown, Edward J; Charache, Greg W; DePoy, David M

    2000-01-01

    A method for fabricating a thermophotovoltaic energy conversion cell including a thin semiconductor wafer substrate (10) having a thickness (.beta.) calculated to decrease the free carrier absorption on a heavily doped substrate; wherein the top surface of the semiconductor wafer substrate is provided with a thermophotovoltaic device (11), a metallized grid (12) and optionally an antireflective (AR) overcoating; and, the bottom surface (10') of the semiconductor wafer substrate (10) is provided with a highly reflecting coating which may comprise a metal coating (14) or a combined dielectric/metal coating (17).

  13. Effect of heavy metals on pH buffering capacity and solubility of Ca, Mg, K, and P in non-spiked and heavy metal-spiked soils.

    Science.gov (United States)

    Najafi, Sarvenaz; Jalali, Mohsen

    2016-06-01

    In many parts of the world, soil acidification and heavy metal contamination has become a serious concern due to the adverse effects on chemical properties of soil and crop yield. The aim of this study was to investigate the effect of pH (in the range of 1 to 3 units above and below the native pH of soils) on calcium (Ca), magnesium (Mg), potassium (K), and phosphorus (P) solubility in non-spiked and heavy metal-spiked soil samples. Spiked samples were prepared by cadmium (Cd), copper (Cu), nickel (Ni), and zinc (Zn) as chloride salts and incubating soils for 40 days. The pH buffering capacity (pHBC) of each sample was determined by plotting the amount of H(+) or OH(-) added (mmol kg(-1)) versus the related pH value. The pHBC of soils ranged from 47.1 to 1302.5 mmol kg(-1) for non-spiked samples and from 45.0 to 1187.4 mmol kg(-1) for spiked soil samples. The pHBC values were higher in soil 2 (non-spiked and spiked) which had higher calcium carbonate content. The results indicated the presence of heavy metals in soils generally decreased the solution pH and pHBC values in spiked samples. In general, solubility of Ca, Mg, and K decreased with increasing equilibrium pH of non-spiked and spiked soil samples. In the case of P, increasing the pH to about 7, decreased the solubility in all soils but further increase of pH from 7, enhanced P solubility. The solubility trends and values for Ca, Mg, and K did not differed significantly in non-spiked and spiked samples. But in the case of P, a reduction in solubility was observed in heavy metal-spiked soils. The information obtained in this study can be useful to make better estimation of the effects of soil pollutants on anion and cation solubility from agricultural and environmental viewpoints.

  14. Organellar Calcium Buffers

    Science.gov (United States)

    Prins, Daniel; Michalak, Marek

    2011-01-01

    Ca2+ is an important intracellular messenger affecting many diverse processes. In eukaryotic cells, Ca2+ storage is achieved within specific intracellular organelles, especially the endoplasmic/sarcoplasmic reticulum, in which Ca2+ is buffered by specific proteins known as Ca2+ buffers. Ca2+ buffers are a diverse group of proteins, varying in their affinities and capacities for Ca2+, but they typically also carry out other functions within the cell. The wide range of organelles containing Ca2+ and the evidence supporting cross-talk between these organelles suggest the existence of a dynamic network of organellar Ca2+ signaling, mediated by a variety of organellar Ca2+ buffers. PMID:21421925

  15. Effects of V2O3 buffer layers on sputtered VO2 smart windows: Improved thermochromic properties, tunable width of hysteresis loops and enhanced durability

    Science.gov (United States)

    Long, Shiwei; Cao, Xun; Sun, Guangyao; Li, Ning; Chang, Tianci; Shao, Zewei; Jin, Ping

    2018-05-01

    Vanadium dioxide (VO2) is one of the most well-known thermochromic materials, which exhibits a notable optical change from transparent to reflecting in the infrared region upon a metal-insulator phase transition. For practical applications, VO2 thin films should be in high crystalline quality to obtain a strong solar modulation ability (ΔTsol). Meanwhile, narrow hysteresis loops and robust ambient durability are also indispensable for sensitivity and long-lived utilization, respectively. In this work, a series of high-quality V2O3/VO2 bilayer structures were grown on quartz glass substrates by reactive magnetron sputtering. Basically, the bottom V2O3 acts as the buffer layer to improve the crystallinity of the top VO2, while the VO2 serves as the thermochromic layer to guarantee the solar modulation ability for energy-saving. We observed an obvious increase in ΔTsol of 76% (from 7.5% to 13.2%) for VO2 films after introducing V2O3 buffer layers. Simultaneously, a remarkable reduction by 79% (from 21.9 °C to 4.7 °C) in width of hysteresis loop was obtained when embedding 60 nm V2O3 buffer for 60 nm VO2. In addition, VO2 with non-stoichiometry of V2O3±x buffer demonstrates a broadening hysteresis loops width, which is derived from the lattice distortion caused by lattice imperfection. Finally, durability of VO2 has been significantly improved due to positive effects of V2O3 buffer layer. Our results lead to a comprehensive enhancement in crystallinity of VO2 and shed new light on the promotion of thermochromic property by homologous oxides for VO2.

  16. 2D Metal-Organic Frameworks Derived Nanocarbon Arrays for Substrate Enhancement in Flexible Supercapacitors.

    Science.gov (United States)

    Liu, Ximeng; Guan, Cao; Hu, Yating; Zhang, Lei; Elshahawy, Abdelnaby M; Wang, John

    2017-10-27

    Direct assembling of active materials on carbon cloth (CC) is a promising way to achieve flexible electrodes for energy storage. However, the overall surface area and electrical conductivity of such electrodes are usually limited. Herein, 2D metal-organic framework derived nanocarbon nanowall (MOFC) arrays are successfully developed on carbon cloth by a facile solution + carbonization process. Upon growth of the MOFC arrays, the sites for growth of the active materials are greatly increased, and the equivalent series resistance is decreased, which contribute to the enhancement of the bare CC substrate. After decorating ultrathin flakes of MnO 2 and Bi 2 O 3 on the flexible CC/MOFC substrate, the hierarchical electrode materials show an abrupt improvement of areal capacitances by around 50% and 100%, respectively, compared to those of the active materials on pristine carbon cloth. A flexible supercapacitor can be further assembled using two hierarchical electrodes, which demonstrates an energy density of 124.8 µWh cm -2 at the power density of 2.55 mW cm -2 . © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Nanowires-based light emitters on thermally and electrically conductive substrates and of making same

    KAUST Repository

    Ooi, Boon S.; Zhao, Chao; Ng, Tien Khee

    2017-01-01

    Elemental or compound semiconductors on metal substrates and methods of growing them are provided. The methods can include the steps of: (i) providing a metal substrate; (ii) adding an interlayer on a surface of the metal substrate, and (iii) growing semiconductor nanowires on the interlayer using a semiconductor epitaxy growth system to form the elemental or compound semiconductor. The method can include direct growth of high quality group III-V and group III-N based materials in the form of nanowires and nanowires-based devices on metal substrates. The nanowires on all- metal scheme greatly simplifies the fabrication process of nanowires based high power light emitters.

  18. Nanowires-based light emitters on thermally and electrically conductive substrates and of making same

    KAUST Repository

    Ooi, Boon S.

    2017-04-27

    Elemental or compound semiconductors on metal substrates and methods of growing them are provided. The methods can include the steps of: (i) providing a metal substrate; (ii) adding an interlayer on a surface of the metal substrate, and (iii) growing semiconductor nanowires on the interlayer using a semiconductor epitaxy growth system to form the elemental or compound semiconductor. The method can include direct growth of high quality group III-V and group III-N based materials in the form of nanowires and nanowires-based devices on metal substrates. The nanowires on all- metal scheme greatly simplifies the fabrication process of nanowires based high power light emitters.

  19. Phytostabilization of metals by indigenous riparian vegetation ...

    African Journals Online (AJOL)

    When measured against an ideal hypothetical buffer zone, the buffer zones under investigation varied between intact and severely compromised. Intact riparian zones showed elevated metal concentrations in the soil, yet significantly lower concentrations in the river water compared to areas with insufficient vegetative cover ...

  20. Fundamental study on metal plating removal using pulsed power technology

    International Nuclear Information System (INIS)

    Imasaka, Kiminobu; Gnapowski, Sebastian; Akiyama, Hidenori

    2013-01-01

    A novel method for the metal removal from metal-plated substrate using pulsed power technology is proposed. A metal-plated substrate with three metal-layers structure (Cu, Ni and Au) is used as the sample substrate. Repetitive pulsed arc discharge plasma is generated between a rod electrode and the surface of substrate. Effect of the type of electrode system on metal plating removal was investigated. The removal region is produced by the moving phenomena of the pulsed arc discharge. A part of Au layer, which is the tompost metal surface of the substrate is vaporized and removed by the repetitive pulsed arc discharges. The proposed method can be used for recycle of metal-plated substrate. (author)

  1. Barrier layer arrangement for conductive layers on silicon substrates

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.

    1990-01-01

    This patent describes a circuit element comprised of a silicon substrate and a conductive layer located on the substrate. It is characterized in that the conductive layer consists essentially of a rare earth alkaline earth copper oxide and a barrier layer triad is interposed between the silicon substrate and the conductive layer comprised of a first triad layer located adjacent the silicon substrate consisting essentially of silica, a third triad layer remote from the silicon substrate consisting essentially of a least one Group 4 heavy metal oxide, and a second triad layer interposed between the first and third triad layers consisting essentially of a mixture of silica and at lease one Group 4 heavy metal oxide

  2. Ammonia release method for depositing metal oxides

    Energy Technology Data Exchange (ETDEWEB)

    Silver, G.L.; Martin, F.S.

    1993-12-31

    A method of depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates.

  3. Growth of thick La{sub 2}Zr{sub 2}O{sub 7} buffer layers for coated conductors by polymer-assisted chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin, E-mail: xzhang@my.swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Electrical Engineering, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Xia, Yudong [State Key Lab of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Guo, Chunsheng [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhang, Yong [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, Han [Department of Physics, Peking University, Beijing 100871 (China)

    2015-06-15

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La{sub 2}Zr{sub 2}O{sub 7} (LZO) epitaxial films have been deposited on LaAlO{sub 3} (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa{sub 2}Cu{sub 3}O{sub 7−x} (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm{sup 2} at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors.

  4. Influence of metformin and insulin on myocardial substrate oxidation under conditions encountered during cardiac surgery.

    Science.gov (United States)

    Holmes, Cyonna; Powell, LaShondra; Clarke, Nicholas S; Jessen, Michael E; Peltz, Matthias

    2018-02-01

    The influence of diabetic therapies on myocardial substrate selection during cardiac surgery is unknown but may be important to ensure optimal surgical outcomes. We hypothesized that metformin and insulin alter myocardial substrate selection during cardiac surgery and may affect reperfusion cardiac function. Rat hearts (n = 8 per group) were evaluated under 3 metabolic conditions: normokalemia, cardioplegia, or bypass. Groups were perfused with Krebs-Henseleit buffer in the presence of no additives, metformin, insulin, or both insulin and metformin. Perfusion buffer containing physiologic concentrations of energetic substrates with different carbon-13 ( 13 C) labeling patterns were used to determine substrate oxidation preferences using 13 C magnetic resonance spectroscopy and glutamate isotopomer analysis. Rate pressure product and oxygen consumption were measured. Myocardial function was not different between groups. For normokalemia, ketone oxidation was reduced in the presence of insulin and the combination of metformin and insulin reduced fatty acid oxidation. Metformin reduced fatty acid and ketone oxidation during cardioplegia. Fatty acid oxidation was increased in the bypass group compared with all other conditions. Metformin and insulin affect substrate utilization and reduce fatty acid oxidation before reperfusion. These alterations in substrate oxidation did not affect myocardial function in otherwise normal hearts. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  6. Optimization of sol-gel technique for coating of metallic substrates by hydroxyapatite using the Taguchi method

    Science.gov (United States)

    Pourbaghi-Masouleh, M.; Asgharzadeh, H.

    2013-08-01

    In this study, the Taguchi method of design of experiment (DOE) was used to optimize the hydroxyapatite (HA) coatings on various metallic substrates deposited by sol-gel dip-coating technique. The experimental design consisted of five factors including substrate material (A), surface preparation of substrate (B), dipping/withdrawal speed (C), number of layers (D), and calcination temperature (E) with three levels of each factor. An orthogonal array of L18 type with mixed levels of the control factors was utilized. The image processing of the micrographs of the coatings was conducted to determine the percentage of coated area ( PCA). Chemical and phase composition of HA coatings were studied by XRD, FT-IR, SEM, and EDS techniques. The analysis of variance (ANOVA) indicated that the PCA of HA coatings was significantly affected by the calcination temperature. The optimum conditions from signal-to-noise ( S/N) ratio analysis were A: pure Ti, B: polishing and etching for 24 h, C: 50 cm min-1, D: 1, and E: 300 °C. In the confirmation experiment using the optimum conditions, the HA coating with high PCA of 98.5 % was obtained.

  7. Improvement of the energy conversion efficiency of Cu(In,Ga)Se{sub 2} solar cells using an additional Zn(S,O) buffer

    Energy Technology Data Exchange (ETDEWEB)

    Choi, In-Hwan, E-mail: ihchoi@cau.ac.kr [Chung-Ang University, Department of Physics, Seoul 156-756 (Korea, Republic of); Choi, Chul-Hwan [LG Innotek, Gyeonggi-do, Ansan-si 426-791 (Korea, Republic of)

    2012-12-15

    CuInGaSe{sub 2} (CIGS) solar cells were prepared with two different buffer structures. Sample A had a single, thin CdS buffer, {approx} 25 nm in thickness, and Sample B had a very thin CdS buffer (< 5 nm thickness) with an additional Zn(S,O) buffer layer. The CIGS and CdS layers in these samples were prepared using a 3-step co-evaporation method and chemical bath deposition, respectively, whereas the additional Zn(S,O) buffer and boron (B)-doped ZnO window layer were prepared by metal organic chemical vapor deposition. The current-voltage curves, quantum efficiency, depth profile by secondary ion mass spectrometry, and transmission electron microscopy images of both samples were analyzed. Sample B showed greater open circuit voltage than Sample A, whereas the short circuit current of Sample B was less than that of Sample A. Even though the energy conversion efficiency is not markedly improved compared to the highest recorded value of each sample, it was quite obvious throughout this experiment that the additional buffer cells had higher reliability and homogeneous properties than CdS buffer cells. - Highlights: Black-Right-Pointing-Pointer CuInGaSe{sub 2} solar cells were prepared with two different buffer structures. Black-Right-Pointing-Pointer One sample had a CdS buffer only, and the other had a very thin CdS and Zn(S,O) buffer. Black-Right-Pointing-Pointer Additional Zn(S,O) buffer was prepared by metal organic chemical vapor deposition. Black-Right-Pointing-Pointer Energy conversion efficiency of the additional buffer cells was slightly improved. Black-Right-Pointing-Pointer CdS/Zn(S,O) cells had higher reliability and homogeneous properties than CdS cells.

  8. Buffer layers for growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x films on silicon

    CERN Document Server

    Razumov, S V

    2001-01-01

    The results of the studies on the structural characteristics of the SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 buffer layers, obtained through the ion-plasma spraying on the silicon substrates, are presented. It is shown that the phase composition and internal stresses in the films are strongly dependent on the deposition temperature. The technological conditions of growth of primarily oriented SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 films are dortmund. The structural quality of the obtained buffer films is sufficient for further growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x high-quality films on the silicon substrates

  9. Evaluation of the nanotube intrinsic resistance across the tip-carbon nanotube-metal substrate junction by Atomic Force Microscopy

    Directory of Open Access Journals (Sweden)

    Alamarguy David

    2011-01-01

    Full Text Available Abstract Using an atomic force microscope (AFM at a controlled contact force, we report the electrical signal response of multi-walled carbon nanotubes (MWCNTs disposed on a golden thin film. In this investigation, we highlight first the theoretical calculation of the contact resistance between two types of conductive tips (metal-coated and doped diamond-coated, individual MWCNTs and golden substrate. We also propose a circuit analysis model to schematize the «tip-CNT-substrate» junction by means of a series-parallel resistance network. We estimate the contact resistance R of each contribution of the junction such as R tip-CNT, R CNT-substrate and R tip-substrate by using the Sharvin resistance model. Our final objective is thus to deduce the CNT intrinsic radial resistance taking into account the calculated electrical resistance values with the global resistance measured experimentally. An unwished electrochemical phenomenon at the tip apex has also been evidenced by performing measurements at different bias voltages with diamond tips. For negative tip-substrate bias, a systematic degradation in color and contrast of the electrical cartography occurs, consisting of an important and non-reversible increase of the measured resistance. This effect is attributed to the oxidation of some amorphous carbon areas scattered over the diamond layer covering the tip. For a direct polarization, the CNT and substrate surface can in turn be modified by an oxidation mechanism.

  10. Introduction of Artificial Pinning Center into PLD-YBCO Coated Conductor on IBAD and Self-Epitaxial CeO2 Buffered Metal Substrate

    International Nuclear Information System (INIS)

    Kobayashi, H.; Yamada, Y.; Ishida, S.; Takahashi, K.; Konishi, M.; Ibi, A.; Miyata, S.; Kato, T.; Hirayama, T.; Shiohara, Y.

    2006-01-01

    In order to fabricate YBa2Cu3O7-x (YBCO) coated conductors with high critical current density Jc in magnetic fields, we fabricated YBCO coated conductors with artificial pinning centers by the pulsed laser deposition (PLD) method on a self epitaxial PLD-CeO2 layer and ion-beam assisted deposition (IBAD)-Gd2Zr2O7 (GZO) buffered Hastelloy tape. Artificial pinning centers were introduced by the PLD deposition using the yttria-stabilized zirconia (YSZ) oxide target (nano-dot method) and YBCO target including YSZ particles (mixed target method). In the experiments using YSZ oxide target, YSZ nano-dots were observed. They were approximately 15 nm in height and 10 nm to 70 nm in diameter. We found that the density of nano-dots was controlled by the number of laser pulses. These samples exhibited higher Jc than YBCO films in magnetic fields. Furthermore, a similar improvement of Jc was observed in the experiments using YBCO target including YSZ particles. TEM observation revealed that columnar nano-structure made of BaZrO3 was formed during YBCO deposition and it was effective for pinning. We call this new epitaxial nano-structure 'bamboo structure' from its anisotropic growth and morphology

  11. Fabrication of Ni-5 at. %W Long Tapes with CeO2 Buffer Layer by Reel-to-Reel Method

    DEFF Research Database (Denmark)

    Ma, Lin; Tian, Hui; Yue, Zhao

    2015-01-01

    A 10-m-long homemade textured Ni-5at.%W (Ni5W) long tape with a CeO2 buffer layer has been prepared successfully by means of rolling-assisted biaxially textured substrate (RABiTS) route followed by a chemical solution deposition method in a reel-to-reel manner. Globally, the Ni5W substrate and CeO2...

  12. Guidelines for bottom-up approach of nanocarbon film formation from pentacene using heated tungsten on quartz substrate without metal catalyst

    Science.gov (United States)

    Heya, Akira; Matsuo, Naoto

    2018-04-01

    The guidelines for a bottom-up approach of nanographene formation from pentacene using heated tungsten were investigated using a novel method called hot mesh deposition (HMD). In this method, a heated W mesh was set between a pentacene source and a quartz substrate. Pentacene molecules were decomposed by the heated W mesh. The generated pentacene-based decomposed precursors were then deposited on the quartz substrate. The pentacene dimer (peripentacene) was obtained from pentacene by HMD using two heated catalysts. As expected from the calculation with the density functional theory in the literature, it was confirmed that the pentacene dimer can be formed by a reaction between pentacene and 6,13-dihydropentacene. This technique can be applied to the formation of novel nanographene on various substrates without metal catalysts.

  13. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  14. VLSI scaling methods and low power CMOS buffer circuit

    International Nuclear Information System (INIS)

    Sharma Vijay Kumar; Pattanaik Manisha

    2013-01-01

    Device scaling is an important part of the very large scale integration (VLSI) design to boost up the success path of VLSI industry, which results in denser and faster integration of the devices. As technology node moves towards the very deep submicron region, leakage current and circuit reliability become the key issues. Both are increasing with the new technology generation and affecting the performance of the overall logic circuit. The VLSI designers must keep the balance in power dissipation and the circuit's performance with scaling of the devices. In this paper, different scaling methods are studied first. These scaling methods are used to identify the effects of those scaling methods on the power dissipation and propagation delay of the CMOS buffer circuit. For mitigating the power dissipation in scaled devices, we have proposed a reliable leakage reduction low power transmission gate (LPTG) approach and tested it on complementary metal oxide semiconductor (CMOS) buffer circuit. All simulation results are taken on HSPICE tool with Berkeley predictive technology model (BPTM) BSIM4 bulk CMOS files. The LPTG CMOS buffer reduces 95.16% power dissipation with 84.20% improvement in figure of merit at 32 nm technology node. Various process, voltage and temperature variations are analyzed for proving the robustness of the proposed approach. Leakage current uncertainty decreases from 0.91 to 0.43 in the CMOS buffer circuit that causes large circuit reliability. (semiconductor integrated circuits)

  15. Heavy metals in municipal solid waste deposits

    Energy Technology Data Exchange (ETDEWEB)

    Flyhammar, P.

    1997-12-01

    Extensive use of heavy metals in modern society influences routes followed by fluxes on the surface of the Earth. The changed flow paths may be harmful for the balance of biological systems at different levels, micro-organisms, human beings and whole ecosystems, since the toxicity of heavy metals is determined by their concentrations and chemical forms. Despite the low mobility of heavy metals (Zn, Cu, Pb, Cr, Ni and Cd) in municipal landfills, it was found that extensive transformations of the binding forms of heavy metal take place within the waste mass during the degradation of the waste. These changes appear to be closely related to the development of early diagenetic solid phases, i.e. new secondary solid phases formed in the waste. The heavy metals often constitute a minor part of these phases and the bindings include several forms such as adsorption, complexation, coprecipitation, precipitation, etc. It was also found that the associations between heavy metals and solid phases are dominated by several binding forms to one specific substrate rather than bindings to various solid phases. The mobility of iron and manganese seems to increase during the processes involved in waste degradation due to the solution of oxide/hydroxide phases, while the heavy metals appear to become less mobile due to their binding to organic compounds and sulphides. However, one exception in this case may be nickel. Another aspect of the transformation of heavy metals is the accumulation of pools of heavy metals which can become susceptible to environmental changes, such as oxidation or acidification. However, the risk of increased mobilization caused by lower pH values seem to be limited since municipal solid waste has a large buffer capacity. 66 refs, 9 figs, 3 tabs 66 refs, 9 figs, 3 tabs

  16. Nature of Y1Ba2Cu3O7 nucleation centers on ceria buffers

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F; Li Qiang; Qing Jie; Zhou Juan; Develos-Bagarinao, Katherine

    2010-01-01

    The purpose of this study is to enhance properties of the second-generation wires by increasing the density of Y 1 Ba 2 Cu 3 O 7 (YBCO) nucleation centers on the surface of the ceria buffer. To identify the nature of the nucleation centers, we compare nucleation kinetics and performance of YBCO layers deposited by the metal-organic process on a standard RABiTS tape to YBCO on ceria buffers with well-controlled structure and surface morphology. The structure of the YBCO layer at the early stage of nucleation and growth is determined by high-flux synchrotron x-ray diffraction. It is shown that the best buffers exhibit high YBCO nucleation rates and produce YBCO nuclei with the least cation disorder. The high YBCO nucleation rate is associated with a high density of threading dislocation outcrops. A strategy for buffer optimization is identified.

  17. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  18. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    Science.gov (United States)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  19. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  20. All-inkjet-printed flexible electronics fabrication on a polymer substrate by low-temperature high-resolution selective laser sintering of metal nanoparticles

    International Nuclear Information System (INIS)

    Ko, Seung H; Pan Heng; Grigoropoulos, Costas P; Luscombe, Christine K; Frechet, Jean M J; Poulikakos, Dimos

    2007-01-01

    All-printed electronics is the key technology to ultra-low-cost, large-area electronics. As a critical step in this direction, we demonstrate that laser sintering of inkjet-printed metal nanoparticles enables low-temperature metal deposition as well as high-resolution patterning to overcome the resolution limitation of the current inkjet direct writing processes. To demonstrate this process combined with the implementation of air-stable carboxylate-functionalized polythiophenes, high-resolution organic transistors were fabricated in ambient pressure and room temperature without utilizing any photolithographic steps or requiring a vacuum deposition process. Local thermal control of the laser sintering process could minimize the heat-affected zone and the thermal damage to the substrate and further enhance the resolution of the process. This local nanoparticle deposition and energy coupling enable an environmentally friendly and cost-effective process as well as a low-temperature manufacturing sequence to realize large-area, flexible electronics on polymer substrates

  1. Copper variation in Cu(In,Ga)Se{sub 2} solar cells with indium sulphide buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Spiering, S., E-mail: stefanie.spiering@zsw-bw.de [Zentrum für Sonnenenergie- und Wasserstoff-Forschung (ZSW) Baden-Wuerttemberg, Industriestrasse 6, 70565 Stuttgart (Germany); Paetel, S.; Kessler, F. [Zentrum für Sonnenenergie- und Wasserstoff-Forschung (ZSW) Baden-Wuerttemberg, Industriestrasse 6, 70565 Stuttgart (Germany); Igalson, M.; Abdel Maksoud, H. [Warsaw University of Technology (WUT), Faculty of Physics, Koszykowa 75, 00-662 Warszawa (Poland)

    2015-05-01

    In the manufacturing of Cu(In,Ga)Se{sub 2} (CIGS) thin film solar cells the application of a buffer layer on top of the absorber is essential to obtain high efficiency devices. Regarding the roll-to-roll production of CIGS cells and modules a vacuum deposition process for the buffer is preferable to the conventional cadmium sulphide buffer deposited in a chemical bath. Promising results have already been achieved for the deposition of indium sulphide buffer by different vacuum techniques. The solar device performance is very sensitive to the conditions at the absorber-buffer heterojunction. In view of optimization we investigated the influence of the Cu content in the absorber on the current-voltage characteristics. In this work the integral copper content was varied between 19 and 23 at.% in CIGS on glass substrates. An improvement of the cell performance by enhanced open circuit voltage was observed for a reduction to ~ 21 at.% when thermally evaporated indium sulphide was applied as the buffer layer. The influence of stoichiometry deviations on the transport mechanism and secondary barriers in the device was studied using detailed dark and light current-voltage analysis and admittance spectroscopy and compared to the reference CdS-buffered cells. We conclude that the composition of the absorber in the interface region affects current transport in In{sub x}S{sub y}-buffered and CdS-buffered cells in different ways hence optimal Cu content in those two types of devices is different. - Highlights: • Influence of Cu-variation in CIGS cells with In{sub x}S{sub y} buffer layer on cell performance • Enhanced efficiency by slight reduction of Cu-content to 21 at.% • Contribution of tunnelling-enhanced interface recombination for higher Cu-content.

  2. Two-Buffer Simulation Games

    Directory of Open Access Journals (Sweden)

    Milka Hutagalung

    2016-07-01

    Full Text Available We consider simulation games played between Spoiler and Duplicator on two Büchi automata in which the choices made by Spoiler can be buffered by Duplicator in two different buffers before she executes them on her structure. Previous work on such games using a single buffer has shown that they are useful to approximate language inclusion problems. We study the decidability and complexity and show that games with two buffers can be used to approximate corresponding problems on finite transducers, i.e. the inclusion problem for rational relations over infinite words.

  3. Temperature Buffer Test. Measurements of water content and density of the excavated buffer material

    Energy Technology Data Exchange (ETDEWEB)

    Johannesson, Lars-Erik [Clay Technology AB, Lund (Sweden)

    2010-12-15

    TBT (Temperature Buffer Test) is a joint project between SKB/ANDRA and supported by ENRESA (modeling) and DBE (instrumentation), which aims at understanding and modeling the thermo-hydromechanical behavior of buffers made of swelling clay submitted to high temperatures (over 100 deg C) during the water saturation process. The test was carried out at the - 420 m level in Aespoe HRL in a 8 meters deep and 1.76 m diameter deposition hole, with two heaters (3 m long, 0.6 m diameter), surrounded by a MX-80 bentonite buffer and a confining plug on top anchored with 9 rods. It was installed during spring 2003. The bentonite around upper heater was removed during the period October - December 2009 and the buffer around the lower heater was removed during January - Mars 2010. During dismantling of the buffer, samples were taken on which analyses were made. This report describes the work with the deteroemoeination of the water content and the density of the taken samples. Most of the samples were taken from the buffer by core drilling from the upper surface of each installed bentonite block. The cores had a diameter of about 50 mm and a maximum length equal to the original height of the bentonite blocks (about 500 mm). The water content of the buffer was determined by drying a sample at a temperature of 105 deg C for 24 h and the bulk density was determined by weighing a sample both in the air and immerged in paraffin oil with known density. The water content, dry density, degree of saturation and void ratio of the buffer were then plotted. The plots show that all parts of the buffer had taken up water and the degree of saturation of the buffer varied between 90 - 100%. Large variation in the dry density of the buffer was also observed.

  4. Buffer design 2012

    International Nuclear Information System (INIS)

    Juvankoski, M.

    2013-08-01

    Posiva's spent nuclear fuel disposal is based on the KBS-3V concept and on the characteristics of the Olkiluoto site. In this concept single canisters containing spent nuclear fuel surrounded by a bentonite buffer are emplaced in individual vertical boreholes drilled in the floor of deposition tunnels in bedrock at about 420 m depth below ground level. Disk type bentonite blocks are installed at the bottom of the hole and on the top of the disposal canister. Ring type bentonite blocks surround the canisters. This report describes the detailed design of the buffer for a KBS-3V repository. The report presents the design basis, the reference design, and summarises the performance analyses carried out for the design. This report addresses aspects concerning the manufacture, quality control, mechanical strength, chemical resistance, thermal dimensioning, handling of buffer components and material ageing phenomena including the effect of radiation. Interaction of buffer and other engineered barriers are included in the study. The long-term evolution of the repository and its effective drivers are considered if they have an impact on the buffer performance but operational safety aspects are also included because they may affect long-term safety. (orig.)

  5. Infrared-transmittance tunable metal-insulator conversion device with thin-film-transistor-type structure on a glass substrate

    Directory of Open Access Journals (Sweden)

    Takayoshi Katase

    2017-05-01

    Full Text Available Infrared (IR transmittance tunable metal-insulator conversion was demonstrated on a glass substrate by using thermochromic vanadium dioxide (VO2 as the active layer in a three-terminal thin-film-transistor-type device with water-infiltrated glass as the gate insulator. Alternative positive/negative gate-voltage applications induce the reversible protonation/deprotonation of a VO2 channel, and two-orders of magnitude modulation of sheet-resistance and 49% modulation of IR-transmittance were simultaneously demonstrated at room temperature by the metal-insulator phase conversion of VO2 in a non-volatile manner. The present device is operable by the room-temperature protonation in an all-solid-state structure, and thus it will provide a new gateway to future energy-saving technology as an advanced smart window.

  6. Development of in-situ control diagnostics for application of epitaxial superconductor and buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    B.C. Winkleman; T.V. Giel; Jason Cunningham

    1999-07-30

    The recent achievements of critical currents in excess of 1 x 10{sup 6} amp/cm{sup 2} at 77 K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential fabrication of these coated conductors as wire. Numerous approaches and manufacturing schemes for producing coated conductor wire are currently being developed. Recently, under the US DOE's sponsorship, the University of Tennessee Space Institute performed an extensive evaluation of leading coated conductor processing options. In general, it is their feeling that the science and chemistry that are being developed in the coated conductor wire program now need proper engineering evaluation to define the most viable options for a commercial fabrication process. All fabrication processes will need process control measurements. This report provides a specific review of the needs and available technologies for process control for many of the coated conductor processing options. This report also addresses generic process monitoring areas in which additional research and development is needed. The concentration is on the two different approaches for obtaining the textured substrates that have been identified as viable candidates. These are the Los Alamos National Laboratory's ion-beam assisted deposition, called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory's rolling assisted, bi-axially textured substrate option called RABiTS{trademark}.

  7. DEVELOPMENT OF IN-SITU CONTROL DIAGNOSTICS FOR APPLICATION OF EPITAXIAL SUPERCONDUCTOR AND BUFFER LAYERS

    Energy Technology Data Exchange (ETDEWEB)

    B.C. Winkleman; T.V. Giel, Jr.; J. Cunningham

    1999-06-30

    The recent achievements of critical currents in excess of 1x10{sup 6}amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential fabrication of these coated conductors as wire. Numerous approaches and manufacturing schemes for producing coated conductor wire are currently being developed. Recently, under the U. S. Department of Energy (DOE's) sponsorship, the University of Tennessee Space Institute (UTSI) performed an extensive evaluation of leading coated conductor processing options. In general, it is our feeling that the science and chemistry that are being developed in the coated conductor wire program now need proper engineering evaluation to define the most viable options for a commercial fabrication process. All fabrication processes will need process control measurements. This report provides a specific review of the needs and available technologies for process control for many of the coated conductor processing options. This report also addresses generic process monitoring areas in which additional research and development is needed. The concentration is on the two different approaches for obtaining the textured substrates that have been identified as viable candidates. These are the Los Alamos National Laboratory's (LANL) ion-beam assisted deposition, called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory's (ORNL) rolling assisted, bi-axially textured substrate option called RABiTS{trademark}.

  8. Anticorrosive coating of SixOyCz on metallic substrates applied with the plasma CVD technique

    International Nuclear Information System (INIS)

    Perillo, P; Lasorsa, C; Versaci, R

    2006-01-01

    This work deals with the production of anticorrosive coatings of Si x O y C z on metallic substrates by PECVD (Plasma Enhanced Chemical Vapor Deposition) in a two layer coating, with a gaseous mixture using methyltrimethoxysilane (Z6070) with the contribution of O 2 and methane as reactive gases. The process involves two steps, the first with the substrate thermalized to 500 o C and the second step with the substrate at room temperature. In the first step the process is carried out with the mixture of O 2 and Z6070, in the second step methane is added to the mixture of the plasma forming gases. The coatings were carried out on AISI 410 stainless steel, AISI M2 steel, titanium and AA6061 aluminum substrates. This work presents the preliminary results of the electrochemical evaluation and the mechanical properties of the coating. Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS/ESCA ), and scanning electron microscopy were used for this study. Electrochemical techniques were used to study the reaction to the corrosion of the coatings. Potentiodynamic polarization curves were prepared in a solution of 5% H 2 SO 4 and in NaCl 0,1M. The tests were undertaken at room temperature. This process is presented as an alternative to the conventional immersion processes by the sol-gel method, which produces the polymerization of the reagent as a result of the effect of the oxygen from the environment, while the plasma process produces very different chemical reactions in the center of the plasma itself with coatings also different (CW)

  9. Thermal Stability of Copper-Aluminum Alloy Thin Films for Barrierless Copper Metallization on Silicon Substrate

    Science.gov (United States)

    Wang, C. P.; Dai, T.; Lu, Y.; Shi, Z.; Ruan, J. J.; Guo, Y. H.; Liu, X. J.

    2017-08-01

    Copper thin films with thickness of about 500 nm doped with different aluminum concentrations have been prepared by magnetron sputtering on Si substrate and their crystal structure, microstructure, and electrical resistivity after annealing at various temperatures (200°C to 600°C) for 1 h or at 400°C for different durations (1 h to 11 h) investigated by grazing-incidence x-ray diffraction (GIXRD) analysis, scanning electron microscopy (SEM), and four-point probe (FPP) measurements. Cu-1.8Al alloy thin film exhibited good thermal stability and low electrical resistivity (˜5.0 μΩ cm) after annealing at 500°C for 1 h or 400°C for 7 h. No copper silicide was observed at the Cu-Al/Si interface by GIXRD analysis or SEM for this sample. This result indicates that doping Cu thin film with small amounts of Al can achieve high thermal stability and low electrical resistivity, suggesting that Cu-1.8Al alloy thin film could be used for barrierless Cu metallization on Si substrate.

  10. Investigation of the cofactor controlled substrate specificity of yeast inorganic pyrophosphatase

    International Nuclear Information System (INIS)

    Dunaway-Mariano, D.; Barry, R.J.; Brush, T.; Ting, S.J.

    1986-01-01

    The PPase reaction requires the participation of three metal ion cofactors. One metal ion binds to PP activating it for reaction and the other two bind to the enzyme activating it for catalysis. Of the metal ions tested only Mg 2+ , Zn 2+ , Co 2+ , Mn 2+ can perform all these roles. Most trivalent metal ions can function to activate the PP for reaction but cannot activate the enzyme for catalysis. The Mg 2+ activated enzyme is specific for M-PP and M-PPS complexes while the Zn 2+ activated enzyme also acts on metal complexes of PPP, PPPOR, PPOR and PPF. 18 O-Incorporation studies show that the substituted phosphoryl group of the unsymmetrical PP complexes always serves as the leaving group. To gain insight into the mechanism of the cofactor control over the substrate specificity the order of substrate/cofactor binding to the enzyme was examined. Dead end inhibition studies in which Cr(III)PP served as substrate and Mg 2+ as cofactor indicate that the mechanism is rapid equilibrium ordered (CrPP binds first) while dead end inhibitor induced activator inhibition studies with Mg 2+ and MgPP indicate that the kinetic mechanism is steady state preferred order. Cofactor-enzyme binding was studied as a function of substrate structure and the results obtained rule out interference of Mg 2+ binding by substrate analogs as an explanation for the different substrate specificities of the Zn 2+ and Mg 2+ activated enzymes

  11. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  12. Stress Corrosion Cracking Susceptibility of 304L Substrate and 308L Weld Metal Exposed to a Salt Spray

    Directory of Open Access Journals (Sweden)

    Chia-Hao Hsu

    2017-02-01

    Full Text Available 304 stainless steels (SS were considered as the materials for a dry storage canister. In this study, ER (Electrode Rod 308L was utilized as the filler metal for the groove and overlay welds of a 304L stainless steel substrate, which was prepared via a gas tungsten arc-welding process in multiple passes. The electron backscatter diffraction (EBSD map was used to identify the inherent microstructures in distinct specimens. U-bend and weight-loss tests were conducted by testing the 304L substrates and welds in a salt spray containing 5 wt % NaCl at 80 °C to evaluate their susceptibility to stress corrosion cracking (SCC. Generally, the weight loss of the ER 308L deposit was higher than that of the 304L substrate in a salt spray in the same sample-prepared condition. The dissolution of the skeletal structure in the fusion zone (FZ was responsible for a greater weight loss of the 308L deposit, especially for the cold-rolled and sensitized specimen. Cold rolling was detrimental and sensitization after cold rolling was very harmful to the SCC resistance of the 304L substrate and 308L deposit. Overall, the SCC susceptibility of each specimen was correlated with its weight loss in each group.

  13. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  14. Facile conversion of bulk metal surface to metal oxide single-crystalline nanostructures by microwave irradiation: Formation of pure or Cr-doped hematite nanostructure arrays

    International Nuclear Information System (INIS)

    Cho, Seungho; Jeong, Haeyoon; Lee, Kun-Hong

    2010-01-01

    We report a method for converting the surfaces of bulk metal substrates (pure iron or stainless steel) to metal oxide (hematite or Cr-doped hematite) nanostructures using microwave irradiation. When microwave radiation (2.45 GHz, single-mode) was applied to a metal substrate under the flow of a gas mixture containing O 2 and Ar, metal oxide nanostructures formed and entirely covered the substrate. The nanostructures were single crystalline, and the atomic ratios of the substrate metals were preserved in the nanostructures. When a pure iron sheet was used as a substrate, hematite nanowires (1000 W microwave radiation) or nanosheets (1800 W microwave radiation) formed on the surface of the substrate. When a SUS410 sheet was used as a substrate, slightly curved rod-like nanostructures were synthesized. The oxidation states of Fe and Cr in these nanorods were Fe 3+ and Cr 3+ . Quantitative analyses revealed an average Fe/Cr atomic ratio of 9.2, nearly identical to the ratio of the metals in the SUS410 substrate.

  15. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Kun, E-mail: ktang@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Huang, Shimin [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Gu, Shulin, E-mail: slgu@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Zhu, Shunming [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Ye, Jiandong [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Nanjing University Institute of Optoelectronics at Yangzhou, Yangzhou 225009 (China); Xu, Zhonghua; Zheng, Youdou [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China)

    2016-12-01

    Highlights: • The growth mechanism has been revealed for the ZnO buffers with different thickness. • The surface morphology has been determined as the key factor to affect the epitaxial growth. • The relation between the hexagonal pits from buffers and epi-films has been established. • The hexagonal pits formed in the epi-films have been attributed to the V-shaped defects inheriting from the dislocations in the buffers. • The structural and electrical properties of the V-defects have been presented and analyzed. - Abstract: In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  16. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    International Nuclear Information System (INIS)

    Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou

    2016-01-01

    Highlights: • The growth mechanism has been revealed for the ZnO buffers with different thickness. • The surface morphology has been determined as the key factor to affect the epitaxial growth. • The relation between the hexagonal pits from buffers and epi-films has been established. • The hexagonal pits formed in the epi-films have been attributed to the V-shaped defects inheriting from the dislocations in the buffers. • The structural and electrical properties of the V-defects have been presented and analyzed. - Abstract: In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  17. One-pot non-enzymatic formation of firefly luciferin in a neutral buffer from p-benzoquinone and cysteine.

    Science.gov (United States)

    Kanie, Shusei; Nishikawa, Toshio; Ojika, Makoto; Oba, Yuichi

    2016-04-21

    Firefly luciferin, the substrate for the bioluminescence reaction of luminous beetles, possesses a benzothiazole ring, which is rare in nature. Here, we demonstrate a novel one-pot reaction to give firefly luciferin in a neutral buffer from p-benzoquinone and cysteine without any synthetic reagents or enzymes. The formation of firefly luciferin was low in yield in various neutral buffers, whereas it was inhibited or completely prevented in acidic or basic buffers, in organic solvents, or under a nitrogen atmosphere. Labelling analysis of the firefly luciferin using stable isotopic cysteines showed that the benzothiazole ring was formed via the decarboxylation and carbon-sulfur bond rearrangement of cysteine. These findings imply that the biosynthesis of firefly luciferin can be developed/evolved from the non-enzymatic production of firefly luciferin using common primary biosynthetic units, p-benzoquinone and cysteine.

  18. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  19. Modifying the characteristics of carbon nanotubes grown on metallic substrates for ultracapacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Jenicek, D. P., E-mail: djenicek@mit.edu; Kassakian, J. G. [Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); McCarthy, A. [Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2014-05-28

    This paper reports the design, fabrication, and testing of carbon nanotube (CNT)-based ultracapacitor electrodes and provides quantitative results, showing that total electrode surface area—and, correspondingly, the total cell capacitance—is highly sensitive to the amount of catalyst material deposited prior to CNT growth. We deposit between 0.6 and 1.0 nm of iron catalyst on metallic (tungsten) substrates and synthesized vertically aligned CNT forests directly by thermal chemical vapor deposition. A capacitance maximum is observed with electrodes prepared with 0.8 nm of catalyst. Geometrical arguments based on average CNT diameter and areal density are used to corroborate this result. The CNTs' differential capacitance is found to be independent of their areal density, mean diameter, length, and the amount of catalyst used to grow them.

  20. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  1. Electrophoretic deposition of organic/inorganic composite coatings on metallic substrates for bone replacement applications: mechanisms and development of new bioactive materials based on polysaccharides

    OpenAIRE

    Cordero Arias, Luis Eduardo

    2015-01-01

    Regarding the need to improve the usually encountered osteointegration of metallic implants with the surrounding body tissue in bone replacement applications, bioactive organic/inorganic composite coatings on metallic substrates were developed in this work using electrophoretic deposition (EPD) as coating technology. In the present work three polysaccharides, namely alginate, chondroitin sulfate and chitosan were used as the organic part, acting as the matrix of the coating and enabling the c...

  2. 21 CFR 520.1696a - Buffered penicillin powder, penicillin powder with buffered aqueous diluent.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 6 2010-04-01 2010-04-01 false Buffered penicillin powder, penicillin powder with... FORM NEW ANIMAL DRUGS § 520.1696a Buffered penicillin powder, penicillin powder with buffered aqueous diluent. (a) Specifications. When reconstituted, each milliliter contains penicillin G procaine equivalent...

  3. Effect of buffer thickness on properties of In0.8Ga0.2As/InP with two-step growth technique

    International Nuclear Information System (INIS)

    Zhang Tiemin; Miao Guoqing; Jin Yixin; Yu Shuzhen; Jiang Hong; Li Zhiming; Song Hang

    2009-01-01

    In 0.8 Ga 0.2 As was grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD) on InP(1 0 0) substrate with two-step growth technique. Effect of buffer thickness on crystalline quality, surface morphology, electrical property and stress of In 0.8 Ga 0.2 As epilayer was analyzed, and properties of the In 0.8 Ga 0.2 As epilayer were characterized by X-ray diffraction, scanning electron microscopy, Hall measurements and Raman scattering. The experiments showed that the properties of the In 0.8 Ga 0.2 As epilayer had close relation to the buffer thickness and the optimum buffer thickness was about 100 nm

  4. Novel metal ion surface modification technique

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.; Yu, K.M.

    1990-10-01

    We describe a method for applying metal ions to the near-surface region of solid materials. The added species can be energetically implanted below the surface or built up as a surface film with an atomically mixed interface with the substrate; the metal ion species can be the same as the substrate species or different from it, and more than one kind of metal species can be applied, either simultaneously or sequentially. Surface structures can be fabricated, including coatings and thin films of single metals, tailored alloys, or metallic multilayers, and they can be implanted or added onto the surface and ion beam mixed. We report two simple demonstrations of the method: implantation of yttrium into a silicon substrate at a mean energy of 70 keV and a dose of 1 x 10 16 atoms/cm 2 , and the formation of a titanium-yttrium multilayer structure with ion beam mixing to the substrate. 17 refs., 3 figs

  5. Method of Joining Graphite Fibers to a Substrate

    Science.gov (United States)

    Beringer, Durwood M. (Inventor); Caron, Mark E. (Inventor); Taddey, Edmund P. (Inventor); Gleason, Brian P. (Inventor)

    2014-01-01

    A method of assembling a metallic-graphite structure includes forming a wetted graphite subassembly by arranging one or more layers of graphite fiber material including a plurality of graphite fibers and applying a layer of metallization material to ends of the plurality of graphite fibers. At least one metallic substrate is secured to the wetted graphite subassembly via the layer of metallization material.

  6. SERS substrates fabricated using ceramic filters for the detection of bacteria

    Science.gov (United States)

    Mosier-Boss, P. A.; Sorensen, K. C.; George, R. D.; Obraztsova, A.

    2016-01-01

    SERS substrates were fabricated by filtering either Ag or Au colloidal particles onto rigid, ceramic filters - onto which suspensions of bacteria were then filtered. SERS spectra of the bacteria were obtained using a Raman spectrometer that has an 'orbital raster scan' capability. It was shown that bacteria samples prepared in this manner were uniformly distributed onto the surface of the SERS substrate. The effect of common buffer systems on the SERS spectra was investigated and the utility of using the SERS technique for speciation of bacteria was explored.

  7. Seed-mediated direct growth of CdSe nanoclusters on substrates

    International Nuclear Information System (INIS)

    Pan Shangke; Ebrahim, Shaker; Soliman, Moataz; Qiao Qiquan

    2013-01-01

    Different shapes of CdSe nanostructures were obtained by hydrothermal method with varied Se sources and buffer layers. Hexagonal nanoparticles of CdSe with Wurtzite structure were synthesized from Se powder resource, while CdSe nanoclusters with Wurtzite structure were grown from Na 2 SeO 3 aqueous solution resources at 165 °C using cetyltrimethylammonium bromide as surfactant. Using ZnO nanoparticles as a seed layer, CdSe nanostructures only partially covered the indium tin oxide (ITO) substrates. With ZnO/CdSe quantum dots composite seed layer, CdSe nanostructures fully covered the ITO substrates.

  8. Evaluation the anaerobic digestion performance of solid residual kitchen waste by NaHCO3 buffering

    International Nuclear Information System (INIS)

    Gao, Shumei; Huang, Yue; Yang, Lili; Wang, Hao; Zhao, Mingxing; Xu, Zhiyang; Huang, Zhenxing; Ruan, Wenquan

    2015-01-01

    Highlights: • The maximum methane production of SRKW was 479 mL/gTS added . • Anaerobic digestion capacity increased by 33.3% through NaHCO 3 buffering. • Protease activity was mainly affected by high organic load. - Abstract: Anaerobic digestion has been considered as a promising energy-producing process for kitchen waste treatment. In this paper, the anaerobic digestion (AD) performances of solid residual kitchen waste (SRKW) with or without NaHCO 3 buffering were investigated. The results indicated that the methane production reached the maximum of 479 mL/gTS added at the inoculum to substrate ratio (ISR, based on VS) of 1:1.4 without buffering, accompanied by VS removal rate of 78.91%. Moreover, the anaerobic digestion capacity increased by 33.3% through NaHCO 3 buffering, and the methane yield at ISR 1:2.8 was improved by 48.5% with NaHCO 3 addition. However, the methanogenesis with or without NaHCO 3 buffer was suppressed at ISR 1:3.5, indicated from the lowest methane yield of 55.50 mL/gTS added and high volatile fatty acids concentration of more than 14,000 mg/L. Furthermore, proteins in SRKW were not degraded completely at excessive organic loading, since the concentrations of ammonia nitrogen in ISR 1:3.5 groups with (2738 mg/L) and without NaHCO 3 buffering (2654 mg/L) were lower than the theoretical value of 3500 mg/L and the protease activities in ISR 1:3.5 groups were also inhibited

  9. Modified batch anaerobic digestion assay for testing efficiencies of trace metal additives to enhance methane production of energy crops.

    Science.gov (United States)

    Brulé, Mathieu; Bolduan, Rainer; Seidelt, Stephan; Schlagermann, Pascal; Bott, Armin

    2013-01-01

    Batch biochemical methane potential (BMP) assays to evaluate the methane yield of biogas substrates such as energy crops are usually carried out with undiluted inoculum. A BMP assay was performed on two energy crops (green cuttings and grass silage). Anaerobic digestion was performed both with and without supplementation of three commercial additives containing trace metals in liquid, solid or adsorbed form (on clay particles). In order to reveal positive effects of trace metal supplementation on the methane yield, besides undiluted inoculum, 3-fold and 10-fold dilutions of the inoculum were applied for substrate digestion. Diluted inoculum variants were supplemented with both mineral nutrients and pH-buffering substances to prevent a collapse of the digestion process. As expected, commercial additives had no effect on the digestion process performed with undiluted inoculum, while significant increases of methane production through trace element supplementation could be observed on the diluted variants. The effect of inoculum dilution may be twofold: (1) decrease in trace metal supplementation from the inoculum and (2) reduction in the initial number of bacterial cells. Bacteria require higher growth rates for substrate degradation and hence have higher trace element consumption. According to common knowledge of the biogas process, periods with volatile fatty acids accumulation and decreased pH may have occurred in the course ofanaerobic digestion. These effects may have led to inhibition, not only ofmethanogenes and acetogenes involved in the final phases of methane production, but also offibre-degrading bacterial strains involved in polymer hydrolysis. Further research is required to confirm this hypothesis.

  10. Synthesis of vertically aligned metal oxide nanostructures

    KAUST Repository

    Roqan, Iman S.

    2016-03-03

    Metal oxide nanostructure and methods of making metal oxide nanostructures are provided. The metal oxide nanostructures can be 1 -dimensional nanostructures such as nanowires, nanofibers, or nanotubes. The metal oxide nanostructures can be doped or undoped metal oxides. The metal oxide nanostructures can be deposited onto a variety of substrates. The deposition can be performed without high pressures and without the need for seed catalysts on the substrate. The deposition can be performed by laser ablation of a target including a metal oxide and, optionally, a dopant. In some embodiments zinc oxide nanostructures are deposited onto a substrate by pulsed laser deposition of a zinc oxide target using an excimer laser emitting UV radiation. The zinc oxide nanostructure can be doped with a rare earth metal such as gadolinium. The metal oxide nanostructures can be used in many devices including light-emitting diodes and solar cells.

  11. Improving Water Quality With Conservation Buffers

    Science.gov (United States)

    Lowrance, R.; Dabney, S.; Schultz, R.

    2003-12-01

    Conservation buffer technologies are new approaches that need wider application. In-field buffer practices work best when used in combination with other buffer types and other conservation practices. Vegetative barriers may be used in combination with edge-of-field buffers to protect and improve their function and longevity by dispersing runoff and encouraging sediment deposition upslope of the buffer. It's important to understand how buffers can be managed to help reduce nutrient transport potential for high loading of nutrients from manure land application sites, A restored riparian wetland buffer retained or removed at least 59 percent of the nitrogen and 66 percent of the phosphorus that entered from an adjacent manure land application site. The Bear Creek National Restoration Demonstration Watershed project in Iowa has been the site of riparian forest buffers and filter strips creation; constructed wetlands to capture tile flow; stream-bank bioengineering; in-stream structures; and controlling livestock grazing. We need field studies that test various widths of buffers of different plant community compositions for their efficacy in trapping surface runoff, reducing nonpoint source pollutants in subsurface waters, and enhancing the aquatic ecosystem. Research is needed to evaluate the impact of different riparian grazing strategies on channel morphology, water quality, and the fate of livestock-associated pathogens and antibiotics. Integrating riparian buffers and other conservation buffers into these models is a key objective in future model development.

  12. Cyclic Thermal Stress-Induced Degradation of Cu Metallization on Si3N4 Substrate at -40°C to 300°C

    Science.gov (United States)

    Lang, Fengqun; Yamaguchi, Hiroshi; Nakagawa, Hiroshi; Sato, Hiroshi

    2015-01-01

    The high-temperature reliability of active metal brazed copper (AMC) on Si3N4 ceramic substrates used for fabricating SiC high-temperature power modules was investigated under harsh environments. The AMC substrate underwent isothermal storage at 300°C for up to 3000 h and a thermal cycling test at -40°C to 300°C for up to 3000 cycles. During isothermal storage at 300°C, the AMC substrate exhibited high reliability, characterized by very little deformation of the copper (Cu) layer, low crack growth, and low oxidation rate of the Cu layer. Under thermal cycling conditions at -40°C to 300°C, no detachment of the Cu layer was observed even after the maximum 3000 cycles of the experiment. However, serious deformation of the Cu layer occurred and progressed as the number of thermal cycles increased, thus significantly roughening the surface of the Cu metallized layer. The cyclic thermal stress led to a significant increase in the crack growth and oxidation of the Cu layer. The maximum depth of the copper oxides reached up to 5/6 of the Cu thickness. The deformation of the Cu layer was the main cause of the decrease of the bond strength under thermal cycling conditions. The shear strength of the SiC chips bonded on the AMC substrate with a Au-12 wt.%Ge solder decreased from the original 83 MPa to 14 MPa after 3000 cycles. Therefore, the cyclic thermal stress destroyed the Cu oxides and enhanced the oxidation of the Cu layer.

  13. Buffer layers grown by replicating the texture of an original template tape

    International Nuclear Information System (INIS)

    Lim, Sunme; Yoo, Jaeun; Park, Chan; Youm, Dojun

    2007-01-01

    We propose a fabrication method of the buffer layers, whose biaxial textures are replicated from an original template tape. The purpose of this method is economical texturing process for coated conductors. At first we prepared a biaxially textured metal tape (TM-tape). Then a sacrifice layer (SA), a buffer layer (BU) and a thick metallic layer (SM) were sequentially deposited on the TM-tape. SA-layer and BU-layer were deposited epitaxially to copy the texture of the TM-tape. SA-layer was dissoluble in water. SM-layer with the textured BU-layer was separated and could be used for a supporting tape for the further growth of a superconducting layer. In this way, it is possible to reuse the original textured TM-tape many times. In this paper, we report the results of our experiments, in which we used a biaxially Ni tape, BaO film, STO film, and a thick Ag film for TM-tape, SA-layer, BU-layer, and SM-layer, respectively. The Ag/STO layers were successfully separated form the Ni tape by dissolving the BaO layer in water. The texture quality of the STO layer was well secured after the separation

  14. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    International Nuclear Information System (INIS)

    Kumar, Rahul; Bag, Ankush; Mukhopadhyay, Partha; Das, Subhashis; Biswas, Dhrubes

    2015-01-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  15. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bag, Ankush [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Das, Subhashis [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, Dhrubes [Department of Electronics & Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-12-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  16. Preparation of YBaCuO superconducting tape by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Fukutomi, Masao; Akutsu, Nakao; Tanaka, Yoshiaki; Asano, Toshihisa; Maeda, Hiroshi (National Research Inst. for Metals, Tsukuba (Japan); Mitsui Mining and Smelting Co., Ltd., Tokyo (Japan))

    1989-04-01

    The effect of buffer layers, conditions of film preparation, and the relation between superconducting characteristics and bombardment of high energy ions on films were discussed in an attempt to fabricate YBaCuO films on metallic substrates by sputtering. Hastelloy-X tapes and Chromel (Ni-10Cr) fine wires were used as metallic substrates, and MgO films as buffer layers, which were provided by sputtering a MgO sintered target and annealing. As a result, superconducting films were favorably obtained on the Hastelloy tapes with the MgO buffer layers, however, counter diffusion at the interface of the film and layer was unavoidable in annealing. C axis-highly oriented film with high zero resistance Tc was obtained in such an arrangement of the target and substrate as to lower the effect of 0{sup {minus}} ion resputtering, resulting in the most favorable Tc=80.4K. YBaCuO superconducting films could be also deposited on a bundle of Chromel fine wires preliminarily. 11 refs., 7 figs.

  17. Carbon nanotube substrates and catalyzed hot stamp for polishing and patterning the substrates

    Science.gov (United States)

    Wang, Yuhuang [Evanston, IL; Hauge, Robert H [Houston, TX; Schmidt, Howard K [Houston, TX; Kim, Myung Jong [Houston, TX; Kittrell, W Carter [Houston, TX

    2009-09-08

    The present invention is generally directed to catalyzed hot stamp methods for polishing and/or patterning carbon nanotube-containing substrates. In some embodiments, the substrate, as a carbon nanotube fiber end, is brought into contact with a hot stamp (typically at 200-800.degree. C.), and is kept in contact with the hot stamp until the morphology/patterns on the hot stamp have been transferred to the substrate. In some embodiments, the hot stamp is made of material comprising one or more transition metals (Fe, Ni, Co, Pt, Ag, Au, etc.), which can catalyze the etching reaction of carbon with H.sub.2, CO.sub.2, H.sub.2O, and/or O.sub.2. Such methods can (1) polish the carbon nanotube-containing substrate with a microscopically smooth finish, and/or (2) transfer pre-defined patterns from the hot stamp to the substrate. Such polished or patterned carbon nanotube substrates can find application as carbon nanotube electrodes, field emitters, and field emitter arrays for displays and electron sources.

  18. Growth and characterization of an InSb infrared photoconductor on Si via an AlSb/GaSb buffer

    Science.gov (United States)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-05-01

    A 99.6% relaxed InSb layer is grown on a 6° offcut (1 0 0) Si substrate via an AlSb/GaSb buffer using molecular beam epitaxy (MBE). A 200 nm GaSb buffer is first grown on Si and the lattice mismatch between them is accommodated by an interfacial misfit (IMF) array consisting of uniformly distributed 90° misfit dislocations. Si delta doping is introduced during the growth of GaSb to reduce the density of threading dislocation. Subsequently, a 50 nm AlSb buffer is grown followed by a 0.8 μm InSb layer. The InSb layer exhibits a 300 K electron mobility of 22,300 cm2/Vs. An InSb photoconductor on Si is demonstrated with a photoconductive gain from 77 K to 200 K under a 700 °C maintained blackbody.

  19. Energy buffering of DNA structure fails when Escherichia coli runs out of substrate

    DEFF Research Database (Denmark)

    Jensen, Peter Ruhdal; Loman, Leine; Petra, Bob

    1995-01-01

    To study how changes in the (ATP)/(ADP) ratio affect the level of DNA supercoiling in Escherichia coli, the cellular content of H+-ATPase was modulated around the wild-type level. A relatively large drop in the (ATP)/(ADP) ratio from the normal ratio resulted in a small increase in the linking...... number of our reporter plasmid (corresponding to a small decrease in negative supercoiling). However, when cells depleted their carbon and energy source, the ensuing drop in energy state was accompanied by a strong increase in linking number. This increase was not due to reduced transcription of the DNA...... in the absence of growth substrate, since rifampin had virtually no effect on the plasmid linking number. To examine whether DNA supercoiling depends more strongly on the cellular energy state at low (ATP)/(ADP) ratios than at high ratios, we used cells that were already at a low energy state after substrate...

  20. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun; Ma, Jun; Lau, Kei May, E-mail: eekmlau@ust.hk [Photonics Technology Center, Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon (Hong Kong)

    2015-05-04

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrown n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme.

  1. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun; Ma, Jun; Lau, Kei May

    2015-01-01

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrown n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme

  2. Performance characterization of metallic substrates coated by HVOF WC–Co

    International Nuclear Information System (INIS)

    Venter, Andrew M.; Oladijo, O. Philip; Luzin, Vladimir; Cornish, Lesley A.; Sacks, Natasha

    2013-01-01

    Integral to the performance of high-velocity oxygen-fuel (HVOF) coatings is the thermo-mechanical interaction associated with the thermal misfit, or differences in thermal expansion coefficients (CTEs), between coating and substrate. This investigation reports results on the microstructures, chemical phase content, coating–substrate misfit residual stress, and wear resistance. For this purpose a systematic characterization of WC–Co sprayed coatings on a number of substrates covering a range of CTE values were pursued for both the as-coated and heat-treated conditions. The neutron diffraction technique in conjunction with sub-millimeter sized gauge volumes enabled depth-resolved studies of the stress in the coatings and substrates by paying special attention to the determination of the stress contribution attributed by the final spray process. In the as-coated condition the stress values in the coatings were compressive for CTEs larger than that of WC–Co and tensile for CTE lower than WC–Co. Wear resistance increased for increased compressive stress and macrohardness. In the heat-treated condition, this trend became enhanced due to increased compressive stress in the coatings. - Highlights: • Four different substrate systems coated with HVOF WC-Co has been investigated. • Each substrate set encompassed the grit-blast surface and as-coated conditions, as well as their heat-treated counterparts. • Microstructural, macrohardness, wear performance and depth-resolved residual stress characterised. • Successful application of neutron strain scanning to investigating the combined systems, coatings and substrates. • Link observed between macrohardness, residual stress and wear performance

  3. Mechanisms of buffer therapy resistance.

    Science.gov (United States)

    Bailey, Kate M; Wojtkowiak, Jonathan W; Cornnell, Heather H; Ribeiro, Maria C; Balagurunathan, Yoganand; Hashim, Arig Ibrahim; Gillies, Robert J

    2014-04-01

    Many studies have shown that the acidity of solid tumors contributes to local invasion and metastasis. Oral pH buffers can specifically neutralize the acidic pH of tumors and reduce the incidence of local invasion and metastatic formation in multiple murine models. However, this effect is not universal as we have previously observed that metastasis is not inhibited by buffers in some tumor models, regardless of buffer used. B16-F10 (murine melanoma), LL/2 (murine lung) and HCT116 (human colon) tumors are resistant to treatment with lysine buffer therapy, whereas metastasis is potently inhibited by lysine buffers in MDA-MB-231 (human breast) and PC3M (human prostate) tumors. In the current work, we confirmed that sensitive cells utilized a pH-dependent mechanism for successful metastasis supported by a highly glycolytic phenotype that acidifies the local tumor microenvironment resulting in morphological changes. In contrast, buffer-resistant cell lines exhibited a pH-independent metastatic mechanism involving constitutive secretion of matrix degrading proteases without elevated glycolysis. These results have identified two distinct mechanisms of experimental metastasis, one of which is pH-dependent (buffer therapy sensitive cells) and one which is pH-independent (buffer therapy resistant cells). Further characterization of these models has potential for therapeutic benefit. Copyright © 2014 Neoplasia Press, Inc. Published by Elsevier Inc. All rights reserved.

  4. Growth of highly textured SnS on mica using an SnSe buffer layer

    International Nuclear Information System (INIS)

    Wang, S.F.; Fong, W.K.; Wang, W.; Surya, C.

    2014-01-01

    We report the growth of SnS thin films on mica substrates by molecular beam epitaxy. Excellent 2D layered structure and strong (001) texture were observed with a record low rocking curve full width at half maximum of ∼ 0.101° for the SnS(004) diffraction. An interface model is used to investigate the nucleation of SnS on mica which indicates the co-existence of six pairs of lateral growth orientations and is in excellent agreement with the experimental Φ-scan measurements indicating 12 peaks separated by 30° from each other. To control the lateral growth of the SnS epilayers we investigate the utilization of a thin SnSe buffer layer deposited on the mica substrate prior to the growth of the SnS thin film. The excellent lattice match between SnSe and mica enhances the alignment of the nucleation of SnS and suppresses the minor lateral orientations along the mica[110] direction and its orthogonal axis. Detailed low-frequency noise measurement was performed to characterize the trap density in the films and our results clearly demonstrate substantial reduction in the density of the localized states in the SnS epilayer with the use of an SnSe buffer layer. - Highlights: • A record low rocking curve FWHM for deposited SnS on mica • Investigation of the nucleation of SnS on mica using the interface model • Investigation of nucleation mechanism by phi-scan measurement • Grain boundary formation from crystallites of various nucleation orientations • Suppression of nucleation orientations using an SnSe buffer layer

  5. All-chemical YBa2Cu3O7 coated conductors on IBAD-YSZ stainless steel substrates

    International Nuclear Information System (INIS)

    Pomar, A; Cavallaro, A; Coll, M; Gazquez, J; Palau, A; Sandiumenge, F; Puig, T; Obradors, X; Freyhardt, H C

    2006-01-01

    We report on the fabrication of all-chemical YBa 2 Cu 3 O 7 coated conductors on IBAD-YSZ (IBAD stands for ion beam assisted deposition; YSZ is yttrium stabilized zirconia) stainless steel substrates. YBCO films were grown by the trifluoroacetates route on top of CeO 2 buffer layers made by metal-organic decomposition. The achievement of atomically flat CeO 2 surfaces is found to be a key factor for obtaining clean interfaces with YBCO and high performance. Coated conductors with percolative critical currents of J c GB (65 K) = 1.8 MA cm -2 were achieved. The determination of the intra-grain critical current J c G from inductive measurements suggests that the limiting factor for J c GB is the YBCO in-plane texture, which is already of higher quality than that of the IBAD-YSZ cap layer. (rapid communication)

  6. Direct transfer of metallic photonic structures onto end facets of optical fibers

    Science.gov (United States)

    Zhang, Xinping; Liu, Feifei; Lin, Yuanhai

    2016-07-01

    We present a flexible approach to transfer metallic photonic crystals (MPCs) onto end facets of optical fibers. The MPCs were initially fabricated on a glass substrate with a spacer layer of indium tin oxide (ITO), which was used as a buffer layer in the transferring process. The fiber ends were firstly welded on the top surface of the MPCs by a drop of polymer solution after the solvent evaporated. The ITO layer was then etched by hydrochloric acid (HCl), so that the MPCs got off the substrate and were transferred to the fiber ends. Alternatively, the MPCs may be also etched off the substrate first by immersing the sample in HCl. The ultra-thin MPC sheet consisting of gold nanolines interlaced with photoresist gratings was then transferred to cap the fiber ends. In the later approach, we can choose which side of the MPCs to be used as the contact with the fiber facet. Such methods enabled convenient nanostructuring on optical fiber tips and achieving miniaturized MPC devices with compact integration, extending significantly applications of MPCs. In particular, the fabrications presented in this manuscript enrich the lab-on-fiber engineering techniques and the resultant devices have potential applications in remote sensing and detection systems.

  7. Direct transfer of metallic photonic structures onto end facets of optical fibers

    Directory of Open Access Journals (Sweden)

    Xinping Zhang

    2016-07-01

    Full Text Available We present a flexible approach to transfer metallic photonic crystals (MPCs onto end facets of optical fibers. The MPCs were initially fabricated on a glass substrate with a spacer layer of indium tin oxide (ITO, which was used as a buffer layer in the transferring process. The fiber ends were firstly welded on the top surface of the MPCs by a drop of polymer solution after the solvent evaporated. The ITO layer was then etched by hydrochloric acid (HCl, so that the MPCs got off the substrate and were transferred to the fiber ends. Alternatively, the MPCs may be also etched off the substrate first by immersing the sample in HCl. The ultra-thin MPC sheet consisting of gold nanolines interlaced with photoresist gratings was then transferred to cap the fiber ends. In the later approach, we can choose which side of the MPCs to be used as the contact with the fiber facet. Such methods enabled convenient nanostructuring on optical fiber tips and achieving miniaturized MPC devices with compact integration, extending significantly applications of MPCs. In particular, the fabrications presented in this manuscript enrich the lab-on-fiber engineering techniques and the resultant devices have potential applications in remote sensing and detection systems.

  8. SOI MESFETs on high-resistivity, trap-rich substrates

    Science.gov (United States)

    Mehr, Payam; Zhang, Xiong; Lepkowski, William; Li, Chaojiang; Thornton, Trevor J.

    2018-04-01

    The DC and RF characteristics of metal-semiconductor field-effect-transistors (MESFETs) on conventional CMOS silicon-on-insulator (SOI) substrates are compared to nominally identical devices on high-resistivity, trap-rich SOI substrates. While the DC transfer characteristics are statistically identical on either substrate, the maximum available gain at GHz frequencies is enhanced by ∼2 dB when using the trap-rich substrates, with maximum operating frequencies, fmax, that are approximately 5-10% higher. The increased fmax is explained by the reduced substrate conduction at GHz frequencies using a lumped-element, small-signal model.

  9. Electrodeposition of metallic tungsten coating from binary oxide molten salt on low activation steel substrate

    International Nuclear Information System (INIS)

    Liu, Y.H.; Zhang, Y.C.; Jiang, F.; Fu, B.J.; Sun, N.B.

    2013-01-01

    Tungsten is considered a promising plasma facing armor material for future fusion devices. An electrodeposited metallic tungsten coating from Na 2 WO 4 –WO 3 binary oxide molten salt on low activation steel (LAS) substrate was investigated in this paper. Tungsten coatings were deposited under various pulsed currents conditions at 1173 K in atmosphere. Cathodic current density and pulsed duty cycle were investigated for pulsed current electrolysis. The crystal structure and microstructure of tungsten coatings were characterized by X-ray diffractometry, scanning electron microscopy, and energy X-ray dispersive analysis techniques. The results indicated that pulsed current density and duty cycle significantly influence tungsten nucleation and electro-crystallization phenomena. The average grain size of the coating becomes much larger with increasing cathodic current density, which demonstrates that appropriate high cathodic current density can accelerate the growth of grains on the surface of the substrate. The micro-hardness of tungsten coatings increases with the increasing thickness of coatings; the maximum micro-hardness is 482 HV. The prepared tungsten coatings have a smooth surface, a porosity of less than 1%, and an oxygen content of 0.024 wt%

  10. Electrodeposition of metallic tungsten coating from binary oxide molten salt on low activation steel substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. H. [School of Materials Science and Engineering, University of Science and Technology BeiJing, Beijing (China); State Nuclear Power Research Institute, Xicheng District, Beijing (China); Zhang, Y.C., E-mail: zycustb@163.com [School of Materials Science and Engineering, University of Science and Technology BeiJing, Beijing (China); Jiang, F.; Fu, B. J.; Sun, N. B. [School of Materials Science and Engineering, University of Science and Technology BeiJing, Beijing (China)

    2013-11-15

    Tungsten is considered a promising plasma facing armor material for future fusion devices. An electrodeposited metallic tungsten coating from Na{sub 2}WO{sub 4}–WO{sub 3} binary oxide molten salt on low activation steel (LAS) substrate was investigated in this paper. Tungsten coatings were deposited under various pulsed currents conditions at 1173 K in atmosphere. Cathodic current density and pulsed duty cycle were investigated for pulsed current electrolysis. The crystal structure and microstructure of tungsten coatings were characterized by X-ray diffractometry, scanning electron microscopy, and energy X-ray dispersive analysis techniques. The results indicated that pulsed current density and duty cycle significantly influence tungsten nucleation and electro-crystallization phenomena. The average grain size of the coating becomes much larger with increasing cathodic current density, which demonstrates that appropriate high cathodic current density can accelerate the growth of grains on the surface of the substrate. The micro-hardness of tungsten coatings increases with the increasing thickness of coatings; the maximum micro-hardness is 482 HV. The prepared tungsten coatings have a smooth surface, a porosity of less than 1%, and an oxygen content of 0.024 wt%.

  11. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  12. The effect of different phosphate ion concentrations and ph of the phosphate buffer on lipase bioproduction by rhizopus oligosporus

    International Nuclear Information System (INIS)

    Haq, I.; Ali, S.; Awan, U.F.; Javed, W.; Mirza, S.

    2005-01-01

    In the present investigation, we report the effect of phosphate ion concentration and different ph of the phosphate buffer (as diluent) on lipase bioproduction by Rhizopus oligosporus. For this purpose, solid state fermentation was employed. Different agricultural by-products such as wheat bran, rice husk, almond meal, soybean meal and sunflower meal were used as substrate. The maximum lipase activity (72.60 U/g) was observed with the almond meal. Addition of phosphate ions (K/sub 2/HPO/sub 4/) influenced the lipase production. The ph of the phosphate buffer (7.0) was found to be effective for higher yield of lipase. (author)

  13. Study of interfacial reactions in Sn-3.5Ag-3.0Bi and Sn-8.0Zn-3.0Bi sandwich structure solder joint with Ni(P)/Cu metallization on Cu substrate

    International Nuclear Information System (INIS)

    Sun, Peng; Andersson, Cristina; Wei, Xicheng; Cheng, Zhaonian; Shangguan, Dongkai; Liu, Johan

    2007-01-01

    In this paper, the coupling effect in Sn-3.5Ag-3.0Bi and Sn-8.0Zn-3.0Bi solder joint with sandwich structure by long time reflow soldering was studied. It was found that the interfacial compound at the Cu substrate was binary Cu-Sn compound in Sn-Ag-Bi solder joint and Cu 5 Zn 8 phase in Sn-Zn-Bi solder joint. The thickness of the Cu-Zn compound layer formed at the Cu substrate was greater than or equal to that of Cu-Sn compound layer, although the reflow soldering temperature of Sn-Zn-Bi (240 o C) was lower than that of Sn-Ag-Bi (250 o C). The stable Cu-Zn compound was the absolute preferential phase in the interfacial layer between Sn-Zn-Bi and the Cu substrate. The ternary (Cu, Ni) 6 Sn 5 compound was formed at the Sn-Ag-Bi/Ni(P)-Cu metallization interface, and a complex alloy Sn-Ni-Cu-Zn was formed at the Sn-Zn-Bi/Ni(P)-Cu metallization interface. It was noted that Cu atoms could diffuse from the Cu substrate through the solder matrix to the Ni(P)-Cu metallization within 1 min reflow soldering time for both solder systems, indicating that just 30 s was long enough for Cu to go through 250 μm diffusion length in the Sn-Ag-Bi solder joint at 250 o C. The coupling effect between Ni(P)/Cu metallization and Cu substrate was confirmed as the type of IMCs at Ni(P) layer had been changed from Ni-Sn system to Cu-Sn system apparently by the diffusion effect of Cu atoms. The (Cu, Ni) 6 Sn 5 layer at the Ni(P)/Cu metallization grew significantly and its thickness was even greater than that of the Cu-Sn compound on the opposite side, however the growth of the complex alloy including Sn, Ni, Cu and Zn on the Ni(P)/Cu metallization was suppressed

  14. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  15. The Role of III-V Substrate Roughness and Deoxidation Induced by Digital Etch in Achieving Low Resistance Metal Contacts

    Directory of Open Access Journals (Sweden)

    Florent Ravaux

    2017-06-01

    Full Text Available To achieve low contact resistance between metal and III-V material, transmission-line-model (TLM structures of molybdenum (Mo were fabricated on indium phosphide (InP substrate on the top of an indium gallium arsenide (InGaAs layer grown by molecular beam epitaxy. The contact layer was prepared using a digital etch procedure before metal deposition. The contact resistivity was found to decrease significantly with the cleaning process. High Resolution Transmission & Scanning Electron Microscopy (HRTEM & HRSTEM investigations revealed that the surface roughness of treated samples was increased. Further analysis of the metal-semiconductor interface using Energy Electron Loss Spectroscopy (EELS showed that the amount of oxides (InxOy, GaxOy or AsxOy was significantly decreased for the etched samples. These results suggest that the low contact resistance obtained after digital etching is attributed to the combined effects of the induced surface roughness and oxides removal during the digital etch process.

  16. On the possibility of contact-induced spin polarization in interfaces of armchair nanotubes with transition metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kuzubov, Alexander A. [Siberian Federal University, 79 Svobodny Prospect, 660041 Krasnoyarsk (Russian Federation); Kirensky Institute of Physics, 50 Akademgorodok, 660036 Krasnoyarsk (Russian Federation); Kovaleva, Evgenia A., E-mail: kovaleva.evgeniya1991@mail.ru [Siberian Federal University, 79 Svobodny Prospect, 660041 Krasnoyarsk (Russian Federation); Kirensky Institute of Physics, 50 Akademgorodok, 660036 Krasnoyarsk (Russian Federation); Tomilin, Felix N.; Mikhaleva, Natalya S.; Kuklin, Artem V. [Siberian Federal University, 79 Svobodny Prospect, 660041 Krasnoyarsk (Russian Federation); Kirensky Institute of Physics, 50 Akademgorodok, 660036 Krasnoyarsk (Russian Federation)

    2015-12-15

    The interaction between armchair carbon and boron nitride nanotubes (NT) with ferromagnetic transition metal (TM) surfaces, namely, Ni(111) and Co(0001), was studied by means of density functional theory. Different configurations of composite compartments mutual arrangement were considered. Partial densities of states and spin density spatial distribution of optimized structures were investigated. Influence of ferromagnetic substrate on nanotubes’ electronic properties was discussed. The values of spin polarization magnitude at the Fermi level are also presented and confirm the patterns of spin density spatial distribution. - Highlights: • Interaction of armchair nanotubes with ferromagnetic metal surfaces was investigated. • Different configurations of nanotube's location were considered. • For all nanotubes the energy difference between configurations is negligible. • Nanotubes were found to be more or less spin-polarized regarding to the configuration. • BN nanotubes demonstrate vanishing of the band gap and contact-induced conductivity.

  17. Bioceramic coating of hydroxyapatite on titanium substrate with Nd-YAG laser

    International Nuclear Information System (INIS)

    Cheng, Gary J.; Pirzada, Daniel; Cai, M.; Mohanty, Pravansu; Bandyopadhyay, Amit

    2005-01-01

    The ability to bond to bone tissue is a unique property of bioactive ceramics. Hydroxyapatite (HAp) is one of the potential bioceramics candidates due to its superior bio-compatibility. Significant effort has been devoted to coat HAp ceramics on metallic substrates. Most of these processes, such as ion-beam sputter coating, thermal spraying, and flame spraying, are high temperature line of sight processes, which suffer from undesirable phase formation and weak metal/HAP bonding strength. This paper presents a unique process to coat HAp powders on titanium substrates at low temperature and enhance the coating/substrate interface by laser surface engineering. Nd-YAG laser transmits HAp powders and the laser power is absorbed by titanium substrate to produce a thin layer of molten region. During coating process, HAp powders are kept at low temperature before they are entrapped in metallic layer. Scanning electron microscope (SEM) was used to investigate the microstructure of coating; the chemical composition of the coating is determined by energy dispersive spectrometry (EDS). Mechanical properties of the interface between coating and Ti substrate were investigated by nanoindentation

  18. Essential Factors Influencing the Bonding Strength of Cold-Sprayed Aluminum Coatings on Ceramic Substrates

    Science.gov (United States)

    Drehmann, R.; Grund, T.; Lampke, T.; Wielage, B.; Wüstefeld, C.; Motylenko, M.; Rafaja, D.

    2018-02-01

    The present work summarizes the most important results of a research project dealing with the comprehensive investigation of the bonding mechanisms between cold-sprayed Al coatings and various poly- and monocrystalline ceramic substrates (Al2O3, AlN, Si3N4, SiC, MgF2). Due to their exceptional combination of properties, metallized ceramics are gaining more and more importance for a wide variety of applications, especially in electronic engineering. Cold spray provides a quick, flexible, and cost-effective one-step process to apply metallic coatings on ceramic surfaces. However, since most of the existing cold-spray-related publications focus on metallic substrates, only very little is known about the bonding mechanisms acting between cold-sprayed metals and ceramic substrates. In this paper, the essential factors influencing the bonding strength in such composites are identified. Besides mechanical tensile strength testing, a thorough analysis of the coatings and especially the metal/ceramic interfaces was conducted by means of HRTEM, FFT, STEM, EDX, EELS, GAXRD, and EBSD. The influence of substrate material, substrate temperature, and particle size is evaluated. The results suggest that, apart from mechanical interlocking, the adhesion of cold-sprayed metallic coatings on ceramics is based on a complex interplay of different mechanisms such as quasiadiabatic shearing, static recrystallization, and heteroepitaxial growth.

  19. Buffer Zone Fact Sheets

    Science.gov (United States)

    New requirements for buffer zones and sign posting contribute to soil fumigant mitigation and protection for workers and bystanders. The buffer provides distance between the pesticide application site and bystanders, reducing exposure risk.

  20. Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on the Characteristics of Nitrogen-polar GaN.

    Science.gov (United States)

    Yang, Fann-Wei; Chen, Yu-Yu; Feng, Shih-Wei; Sun, Qian; Han, Jung

    2016-12-01

    In this study, effects of the thickness of a low temperature (LT) buffer and impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are investigated. By using either a nitridation or thermal annealing step before the deposition of a LT buffer, three N-polar GaN samples with different thicknesses of LT buffer and different impurity incorporations are prepared. It is found that the sample with the thinnest LT buffer and a nitridation step proves to be the best in terms of a fewer impurity incorporations, strong PL intensity, fast mobility, small biaxial strain, and smooth surface. As the temperature increases at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing integral intensity of the band-to-band emission peak. In addition, the thermal annealing of the sapphire substrates may cause more impurity incorporation around the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger yellow luminescence. By using a nitridation step, both a thinner LT buffer and less impurity incorporation are beneficial to obtaining a high quality N-polar GaN.

  1. Inkjet-Printed Chemical Solution Y2O3 Layers for Planarization of Technical Substrates

    Directory of Open Access Journals (Sweden)

    Marta Vilardell

    2017-12-01

    Full Text Available The implementation of the Chemical Solution Deposition (CSD methodology with the Drop on Demand (DoD inkjet printing (IJP technology has been successfully employed to develop a Solution Deposition Planarization (SDP method. We have used nanocrystalline yttrium oxide (Y2O3 to decrease the roughness of technical metallic substrates by filling the surface imperfections and thus avoiding costly polishing steps. This alternative process represents an outstanding methodology to reduce the final cost of the second-generation coated conductors manufacturing. Two Y2O3 metalorganic precursor ink formulations were successfully developed and tested to obtain surfaces as smooth as possible with adequate mechanical properties to hold the internal stress developed during the growth of the subsequent layers. By using these inks as precursors for IJP and after a proper tuning of the rheological and wetting parameters, we firstly obtained centimeter length uniform 100 nm-thick SDP-Y2O3 films on unpolished stainless-steel substrate from Bruker HTS. The scalability of the roll to roll (R2R-IJP process to 100 m is then demonstrated on metallic substrates as well. A complete characterization of the prepared SDP-Y2O3 inkjet-printed layers was carried out using optical microscopy, FIB-SEM (Focus Ion Beam coupled to Scanning Electron Microscopy, XRD (X-ray Diffraction, AFM (Atomic Force Microscopy, reflectometry and nanoindentation techniques. Then, the morphology, thickness, crystallinity and mechanical properties were evaluated, together with the surface roughness in order to assess the resulting layer planarity. The impact of planarity was additionally studied via growth of biaxially textured buffer layers as well as further functional layers. 1.1 µm-thick YSZ layers with in-plane textures better than the stainless steel (SS polished reference were successfully deposited on top of 100 nm SDP-Y2O3 films yielding 50% of Ic in contrast to the standard SS reference.

  2. Direct metal brazing to cermet feedthroughs

    International Nuclear Information System (INIS)

    Hopper, A.C. Jr.

    1984-01-01

    An improved method for brazing metallic components to a cermet surface in an alumina substrate eliminates the prior art metallized layer over the cermet via and adjoining alumina surfaces. Instead, a nickel layer is applied over the cermet surface only and metallic components are brazed directly to this nickel coated cermet surface. As a result, heretofore unachievable tensile strength joints are produced. In addition, cermet vias with their brazed metal components can be spaced more closely in the alumina substrate because of the elimination of the prior art metallized alumina surfaces

  3. Saccharide-based Approach to Green Metallic Nanostructure Synthesis

    DEFF Research Database (Denmark)

    Engelbrekt, Christian; Sørensen, Karsten Holm; Jensen, Palle Skovhus

    A green approach to solution synthesis of metallic nanoparticles has been developed using harmless and bioapplicable chemicals as well as moderate temperatures. Metal precursors are reduced by glucose/buffers and sterically stabilized by starch. The saccharide based procedure is highly diverse pr...... producing specifically a wide range of spherical, anisotropic, metallic, semi - conductor and core-shell nanostructures....

  4. Metal-support interactions in electrocatalysis: Hydrogen effects on electron and hole transport at metal-support contacts

    International Nuclear Information System (INIS)

    Heller, A.

    1986-01-01

    This paper discusses the effects of hydrogen on electron and hole transport at metal support contacts during electrocatalysis. When hydrogen dissolves in high work function metals such as Pt, Rh or Ru the contact forms between the semiconductor and the hydrogenated metal, which has a work function that is lower than that of the pure metal. Thus by changing the gaseous atmosphere that envelopes metal-substrate contacts, it is possible to reversibly change their diode characteristics. In some cases, such as Pt on n-TiO/sub 2/, Rh on n-TiO/sub 2/ and Ru on n-TiO/sub 2/, it is even possible to reversibly convert Schottky diodes into ohmic contacts by changing the atmosphere from air to hydrogen. In contacts between hydrogen dissolving group VIII metals and semiconducting substrates, one can test for interfacial reaction of the catalysts and the substrate by examining the electrical characteristics of the contacts in air (oxygen) and in hydrogen. In the absence of interfacial reaction, large hydrogen induced variation in the barrier heights is observed and the hydrogenated contacts, approach ideality (i.e. their non-ideality factor is close to unity). When a group VIII metal and a substrate do react, the reaction often produces a phase that blocks hydrogen transport to the interface between the substrate and the reaction product. In this case the hydrogen effect is reduced or absent. Furthermore, because such reaction often introduces defects into the surface of the semiconductor, the contacts have non-ideal diode characteristics

  5. Deposition of metal Islands, metal clusters and metal containing single molecules on self-assembled monolayers

    NARCIS (Netherlands)

    Speets, Emiel Adrianus

    2005-01-01

    The central topic of this thesis is the deposition of metals on Self-Assembled Monolayers (SAMs). Metals are deposited in the form of submicron scale islands, nanometer scale clusters, and as supramolecular, organometallic coordination cages. Several SAMs on various substrates were prepared and

  6. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  7. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  8. Effects of metallic nanoparticle doped flux on the interfacial intermetallic compounds between lead-free solder ball and copper substrate

    International Nuclear Information System (INIS)

    Sujan, G.K.; Haseeb, A.S.M.A.; Afifi, A.B.M.

    2014-01-01

    Lead free solders currently in use are prone to develop thick interfacial intermetallic compound layers with rough morphology which are detrimental to the long term solder joint reliability. A novel method has been developed to control the morphology and growth of intermetallic compound layers between lead-free Sn–3.0Ag–0.5Cu solder ball and copper substrate by doping a water soluble flux with metallic nanoparticles. Four types of metallic nanoparticles (nickel, cobalt, molybdenum and titanium) were used to investigate their effects on the wetting behavior and interfacial microstructural evaluations after reflow. Nanoparticles were dispersed manually with a water soluble flux and the resulting nanoparticle doped flux was placed on copper substrate. Lead-free Sn–3.0Ag–0.5Cu solder balls of diameter 0.45 mm were placed on top of the flux and were reflowed at a peak temperature of 240 °C for 45 s. Angle of contact, wetting area and interfacial microstructure were studied by optical microscopy, field emission scanning electron microscopy and energy-dispersive X-ray spectroscopy. It was observed that the angle of contact increased and wetting area decreased with the addition of cobalt, molybdenum and titanium nanoparticles to flux. On the other hand, wettability improved with the addition of nickel nanoparticles. Cross-sectional micrographs revealed that both nickel and cobalt nanoparticle doping transformed the morphology of Cu 6 Sn 5 from a typical scallop type to a planer one and reduced the intermetallic compound thickness under optimum condition. These effects were suggested to be related to in-situ interfacial alloying at the interface during reflow. The minimum amount of nanoparticles required to produce the planer morphology was found to be 0.1 wt.% for both nickel and cobalt. Molybdenum and titanium nanoparticles neither appear to undergo alloying during reflow nor have any influence at the solder/substrate interfacial reaction. Thus, doping of flux

  9. Effects of metallic nanoparticle doped flux on the interfacial intermetallic compounds between lead-free solder ball and copper substrate

    Energy Technology Data Exchange (ETDEWEB)

    Sujan, G.K., E-mail: sgkumer@gmail.com; Haseeb, A.S.M.A., E-mail: haseeb@um.edu.my; Afifi, A.B.M., E-mail: amalina@um.edu.my

    2014-11-15

    Lead free solders currently in use are prone to develop thick interfacial intermetallic compound layers with rough morphology which are detrimental to the long term solder joint reliability. A novel method has been developed to control the morphology and growth of intermetallic compound layers between lead-free Sn–3.0Ag–0.5Cu solder ball and copper substrate by doping a water soluble flux with metallic nanoparticles. Four types of metallic nanoparticles (nickel, cobalt, molybdenum and titanium) were used to investigate their effects on the wetting behavior and interfacial microstructural evaluations after reflow. Nanoparticles were dispersed manually with a water soluble flux and the resulting nanoparticle doped flux was placed on copper substrate. Lead-free Sn–3.0Ag–0.5Cu solder balls of diameter 0.45 mm were placed on top of the flux and were reflowed at a peak temperature of 240 °C for 45 s. Angle of contact, wetting area and interfacial microstructure were studied by optical microscopy, field emission scanning electron microscopy and energy-dispersive X-ray spectroscopy. It was observed that the angle of contact increased and wetting area decreased with the addition of cobalt, molybdenum and titanium nanoparticles to flux. On the other hand, wettability improved with the addition of nickel nanoparticles. Cross-sectional micrographs revealed that both nickel and cobalt nanoparticle doping transformed the morphology of Cu{sub 6}Sn{sub 5} from a typical scallop type to a planer one and reduced the intermetallic compound thickness under optimum condition. These effects were suggested to be related to in-situ interfacial alloying at the interface during reflow. The minimum amount of nanoparticles required to produce the planer morphology was found to be 0.1 wt.% for both nickel and cobalt. Molybdenum and titanium nanoparticles neither appear to undergo alloying during reflow nor have any influence at the solder/substrate interfacial reaction. Thus, doping

  10. Catalytic total hydrodeoxygenation of biomass-derived polyfunctionalized substrates to alkanes.

    Science.gov (United States)

    Nakagawa, Yoshinao; Liu, Sibao; Tamura, Masazumi; Tomishige, Keiichi

    2015-04-13

    The total hydrodeoxygenation of carbohydrate-derived molecules to alkanes, a key reaction in the production of biofuel, was reviewed from the aspect of catalysis. Noble metals (or Ni) and acid are the main components of the catalysts, and group 6 or 7 metals such as Re are sometimes added as modifiers of the noble metal. The main reaction route is acid-catalyzed dehydration plus metal-catalyzed hydrogenation, and in some systems metal-catalyzed direct CO dissociation is involved. The appropriate active metal, acid strength, and reaction conditions depend strongly on the reactivity of the substrate. Reactions that use Pt or Pd catalysts supported on Nb-based acids or relatively weak acids are suitable for furanic substrates. Carbohydrates themselves and sugar alcohols undergo CC dissociation easily. The systems that use metal-catalyzed direct CO dissociations can give a higher yield of the corresponding alkane from carbohydrates and sugar alcohols. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  12. Solution processed transition metal oxide anode buffer layers for efficiency and stability enhancement of polymer solar cells

    Science.gov (United States)

    Ameen, M. Yoosuf; Shamjid, P.; Abhijith, T.; Reddy, V. S.

    2018-01-01

    Polymer solar cells were fabricated with solution-processed transition metal oxides, MoO3 and V2O5 as anode buffer layers (ABLs). The optimized device with V2O5 ABL exhibited considerably higher power conversion efficiency (PCE) compared to the devices based on MoO3 and poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) ABLs. The space charge limited current measurements and impedance spectroscopy results of hole-only devices revealed that V2O5 provided a very low charge transfer resistance and high hole mobility, facilitating efficient hole transfer from the active layer to the ITO anode. More importantly, incorporation of V2O5 as ABL resulted in substantial improvement in device stability compared to MoO3 and PEDOT:PSS based devices. Unencapsulated PEDOT:PSS-based devices stored at a relative humidity of 45% have shown complete failure within 96 h. Whereas, MoO3 and V2O5 based devices stored in similar conditions retained 22% and 80% of their initial PCEs after 96 h. Significantly higher stability of the V2O5-based device is ascribed to the reduction in degradation of the anode/active layer interface, as evident from the electrical measurements.

  13. New fabrication method for an ellipsoidal neutron focusing mirror with a metal substrate.

    Science.gov (United States)

    Guo, Jiang; Takeda, Shin; Morita, Shin-ya; Hino, Masahiro; Oda, Tatsuro; Kato, Jun-ichi; Yamagata, Yutaka; Furusaka, Michihiro

    2014-10-06

    We propose an ellipsoidal neutron focusing mirror using a metal substrate made with electroless nickel-phosphorus (NiP) plated material for the first time. Electroless NiP has great advantages for realizing an ellipsoidal neutron mirror because of its amorphous structure, good machinability and relatively large critical angle of total reflection for neutrons. We manufactured the mirror by combining ultrahigh precision cutting and fine polishing to generate high form accuracy and low surface roughness. The form accuracy of the mirror was estimated to be 5.3 μm P-V and 0.8 μm P-V for the minor-axis and major-axis direction respectively, while the surface roughness was reduced to 0.2 nm rms. The effect of form error on focusing spot size was evaluated by using a laser beam and the focusing performance of the mirror was verified by neutron experiments.

  14. Effect of the substrate on the properties of ZnO-MgO thin films grown by atmospheric pressure metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atohuer@hotmail.com [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Dominguez-Crespo, M.A. [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Brachetti-Sibaja, S.B. [Alumna del postgrado en Tecnologia Avanzada del CICATA-IPN, Unidad Altamira IPN, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Arenas-Alatorre, J. [Instituto de Fisica, UNAM, Apartado Postal 20-364, 01000, D.F. (Mexico); Rodriguez-Pulido, A. [Unidad Profesional Adolfo Lopez Mateos, Luis Enrique Erro s/n, 07738, D. F. (Mexico)

    2011-07-01

    The ZnO-MgO alloys possess attractive properties for possible applications in optoelectronic and display devices; however, the optical properties are strongly dependent on the deposition parameters. In this work, the effect of the glassy and metallic substrates on the structural, morphological and optical properties of ZnO-MgO thin films using atmospheric pressure metal-organic chemical vapor deposition was investigated at relatively low deposition temperature, 500 deg. C. Magnesium and zinc acetylacetonates were used as the metal-organic source. X-ray diffraction experiments provided evidence that the kind of substrates cause a deviation of c-axis lattice constant due to the constitution of a oxide mixture (ZnO and MgO) in combination with different intermetallic compounds(Mg{sub 2}Zn{sub 11} and Mg{sub 4}Zn{sub 7}) in the growth films. The substitutional and interstitial sites of Mg{sup 2+} instead of Zn{sup 2+} ions in the lattice are the most probable mechanism to form intermetallic compounds. The optical parameters as well as thickness of the films were calculated by Spectroscopic Ellipsometry using the classical dispersion model based on the sum of the single and double Lorentz and Drude oscillators in combination with Kato-Adachi equations, as well as X-ray reflectivity.

  15. Retaining in-gel zymographic activity of cysteine proteases via a cysteine-supplemented running buffer.

    Science.gov (United States)

    Vootukuri Reddy, Sreekanth; Philpott, Mike P; Trigiante, Giuseppe

    2016-10-01

    Zymography is a powerful technique to separate and identify different enzymatic activities on a standard acrylamide gel. For oxidation prone enzymes such as cysteine proteases however, the oxidizing species generated by electrolysis of the gel running buffer may result in partial or complete inactivation, thus compromising the final readout. This can be only partially remedied by subsequent treatment of the gel with reducing agents. We demonstrate the generation of reactive oxidizing species during electrophoresis and discovered that supplementation of the gel running buffer with a minimum of 5 mM cysteine prevents enzyme inactivation and allows retention of proteolytic activity as measured by zymography on model substrate N α-benzoyl-l-arginine p-nitroanilide, without at the same time altering the mobilities of the gel proteins. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Adlayer Core-Level Shifts of Random Metal Overlayers on Transition-Metal Substrates

    DEFF Research Database (Denmark)

    Ganduglia-Pirovano, M. V.; Kudrnovský, J.; Scheffler, M.

    1997-01-01

    and the screening effects induced by the core hole, and study the influence of the alloy composition for a number of noble metal-transition metal systems. Our analysis clearly indicates the importance of final-state screening effects for the interpretation of measured core-level shifts. Calculated deviations from...

  17. Silver-Stained Fibrin Zymography: Separation of Proteases and Activity Detection Using a Single Substrate-Containing Gel.

    Science.gov (United States)

    Park, Chang-Su; Kang, Dae-Ook; Choi, Nack-Shick

    2017-01-01

    Silver-stained fibrin zymography for separation of protease bands and activity detection using a single substrate gel was designed. The method takes advantage of the nano-scale sensitivity of both zymography and silver staining. After sodium dodecyl sulfate (SDS) polyacrylamide gel electrophoresis (PAGE) in a gel containing fibrin (protease substrate), the gel was incubated in enzyme reaction buffer and the zymogram gel was silver-stained. Bands with protease activity were stained with silver in clear areas where the protein substrate had been degraded. The molecular sizes of proteases were accurately determined.

  18. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  19. MOVPE and characterization of GaN-based structures on alternative substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dikme, Y.

    2006-06-20

    This study involves growth experiments of GaN-based layer structures on silicon (Si), lithium aluminate (LiAlO{sub 2}) and the composite substrate SiCOI. Substrate specific preparation and growth procedures were developed. Because of the different lattice constants and thermal expansion coefficients between GaN and the substrate materials and because of the high depositions temperatures (>1000 C) complex interlayers are required to create a crossover from the substrate to the GaN layer and to prevent substrate/layer bowing and cracks developing in the epitaxial layers. Crystallographic, thermal and electronic properties of these materials were investigated and the developed layers were used as buffer layers for electronic and opto electronic devices. On Si AlN/GaN distributed Bragg reflectors (DBR), InGaN/GaN multiple quantum well (MQW) and AlGaN/GaN HEMT (high electron mobility transistor) were demonstrated. The transistor structures showed high power densities, which were comparable to industrially fabricated devices. As well as the reflection of a certain wavelength region, the DBR layers additionally showed positive influence on succeeding GaN top layer optical properties. For the first time laser emission of an optically pumped InGaN/GaN MQW on Si was demonstrated with low excitation density and a high operating temperature. GaN-based structures were deposited on LiAlO2 in the m-plane crystal orientation; that do not exhibit polarization mechanisms in growth direction. For the deposition of coalesced GaN films a seal-coating of the LiAlO{sub 2} surface was developed and finally LED structures were grown on these substrates. For the first time electroluminescence of LED structures on LiAlO{sub 2} was achieved. The growth on the composite substrate SiCOI was initiated with an HT AlN layer and it was demonstrated that SiCOI is comparable to a bulk SiC substrate for the GaN-based epitaxy. The developed and investigated layer structure served as buffer for the

  20. Metal-dielectric-CNT nanowires for surface-enhanced Raman spectroscopy

    Science.gov (United States)

    Bond, Tiziana C.; Altun, Ali; Park, Hyung Gyu

    2017-10-03

    A sensor with a substrate includes nanowires extending vertically from the substrate, a hafnia coating on the nanowires that provides hafnia coated nanowires, and a noble metal coating on the hafnia coated nanowires. The top of the hafnia and noble metal coated nanowires bent onto one another to create a canopy forest structure. There are numerous randomly arranged holes that let through scattered light. The many points of contact, hot spots, amplify signals. The methods include the steps of providing a Raman spectroscopy substrate, introducing nano crystals to the Raman spectroscopy substrate, growing a forest of nanowires from the nano crystals on the Raman spectroscopy substrate, coating the nanowires with hafnia providing hafnia coated nanowires, and coating the hafnia coated nanowires with a noble metal or other metal.

  1. The coalescence of heterogeneous liquid metal on nano substrate

    Science.gov (United States)

    Wang, Long; Li, Yifan; Zhou, Xuyan; Li, Tao; Li, Hui

    2017-06-01

    Molecular dynamics simulation has been performed to study the asymmetric coalescence of heterogeneous liquid metal on graphene. Simulation results show that the anomalies in the drop coalescence is mainly caused by the wettability of heterogeneous liquid metal. The silver atoms incline to distribute on the outer layer of the gold and copper droplets, revealing that the structure is determined by the interaction between different metal atoms. The coalescence and fusion of heterogeneous liquid metal drop can be predicted by comparing the wettability and the atomic mass of metallic liquid drops, which has important implications in the industrial application such as ink-jet printing and metallurgy.

  2. A Metal Matrix CNTS Modified Electrode Fabricated Using Micromachining-Based Implantation Method for Improving Sensitivity and Stability

    Directory of Open Access Journals (Sweden)

    Yan Wang

    2013-01-01

    Full Text Available The metal matrix carbon nanotubes modified electrode (MCME has been fabricated by a novel process involving preparation of carbon nanotubes (CNTs/polyimide (PI composite film, wet, etching, sputtering, electroplating, and wet-etch releasing. Pretreated CNTs are dispersed in PI by mechanical ball milling and then CNTs solution is spin-coated on the substrate. The CNTs/PI composite film is etched away a layer of PI to expose tips of CNTs using buffering solution. These exposed tips of CNTs are covered by metal particles in sputtering process as metal seed layer, followed by metal supporting film formed by electroplating. The MCME is obtained after releasing PI film from the metal supporting film. The MCME shows well morphology of uniform distributional protruding tips of CNTs and increased electron transfer efficiency with strong bonding connection between CNTs and metal matrix, which greatly improves sensitivity and stability of the MCME. The oxidation peak of the MCME in cyclic voltammeter (CV test is 1.7 times more than that of CNTs suspension spin-coated metal electrode (SCME. The decline of peak current of the MCME after fifty cycles is only 1.8% much less than 67% of the SCME. Better sensitivity and stability may be helpful for CNTs modified electrodes wide application for trace test of many special materials.

  3. Signature-based store checking buffer

    Science.gov (United States)

    Sridharan, Vilas; Gurumurthi, Sudhanva

    2015-06-02

    A system and method for optimizing redundant output verification, are provided. A hardware-based store fingerprint buffer receives multiple instances of output from multiple instances of computation. The store fingerprint buffer generates a signature from the content included in the multiple instances of output. When a barrier is reached, the store fingerprint buffer uses the signature to verify the content is error-free.

  4. Novel Metals and Metal Complexes as Platforms for Cancer Therapy

    OpenAIRE

    Frezza, Michael; Hindo, Sarmad; Chen, Di; Davenport, Andrew; Schmitt, Sara; Tomco, Dajena; Dou, Q. Ping

    2010-01-01

    Metals are essential cellular components selected by nature to function in several indispensable biochemical processes for living organisms. Metals are endowed with unique characteristics that include redox activity, variable coordination modes, and reactivity towards organic substrates. Due to their reactivity, metals are tightly regulated under normal conditions and aberrant metal ion concentrations are associated with various pathological disorders, including cancer. For these reasons, coo...

  5. Thermal stress in flexible interdigital transducers with anisotropic electroactive cellulose substrates

    Science.gov (United States)

    Yoon, Sean J.; Kim, Jung Woong; Kim, Hyun Chan; Kang, Jinmo; Kim, Jaehwan

    2017-12-01

    Thermal stress in flexible interdigital transducers a reliability concern in the development of flexible devices, which may lead to interface delamination, stress voiding and plastic deformation. In this paper, a mathematical model is presented to investigate the effect of material selections on the thermal stress in interdigital transducers. We modified the linear relationships in the composite materials theory with the effect of high curvature, anisotropic substrate and small substrate thickness. We evaluated the thermal stresses of interdigital transducers, fabricated with various electrodes, insulators and substrate materials for the comparison. The results show that, among various insulators, organic polymer developed the highest stress level while oxide showed the lowest stress level. Aluminium shows a higher stress level and curvature as an electrode than gold. As substrate materials, polyimide and electroactive cellulose show similar stress levels except the opposite sign convention to each other. Polyimide shows positive curvatures while electroactive cellulose shows negative curvatures, which is attributed to the stress and thermal expansion state of the metal/insulator composite. The results show that the insulator is found to be responsible for the confinement across the metal lines while the substrate is responsible for the confinement along the metal lines.

  6. Influence of laser sputtering parameters on orientation of cerium oxide buffer layer on sapphire and properties of YBa2Cu3Ox superconducting film

    International Nuclear Information System (INIS)

    Mozhaev, P.B.; Ovsyannikov, G.A.; Skov, J.L.

    1999-01-01

    Effect of laser sputtering parameters on crystalline properties of CeO 2 buffer layers grown on (1102) orientation sapphire substrate and on properties of YBa 2 Cu 3 O x superconducting thin films was studied. It was shown that depending on the sputtering conditions one might observe growth of CeO 2 (100) and (111) orientations. Varying heater temperature, chamber pressure and density of laser ray energy on the target one managed to obtain mono-oriented buffer layer of the desired orientation [ru

  7. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  8. Excitation mechanism in the photoisomerization of a surface-bound azobenzene derivative: Role of the metallic substrate

    Science.gov (United States)

    Hagen, Sebastian; Kate, Peter; Leyssner, Felix; Nandi, Dhananjay; Wolf, Martin; Tegeder, Petra

    2008-10-01

    Two-photon photoemission spectroscopy is employed to elucidate the electronic structure and the excitation mechanism in the photoinduced isomerization of the molecular switch tetra-tert-butyl-azobenzene (TBA) adsorbed on Au(111). Our results demonstrate that the optical excitation and the mechanism of molecular switching at a metal surface is completely different compared to the corresponding process for the free molecule. In contrast to direct (intramolecular) excitation operative in the isomerization in the liquid phase, the conformational change in the surface-bound TBA is driven by a substrate-mediated charge transfer process. We find that photoexcitation above a threshold hν ≈2.2 eV leads to hole formation in the Au d-band followed by a hole transfer to the highest occupied molecular orbital of TBA. This transiently formed positive ion resonance subsequently results in a conformational change. The photon energy dependent photoisomerization cross section exhibit an unusual shape for a photochemical reaction of an adsorbate on a metal surface. It shows a thresholdlike behavior below hν ≈2.2 eV and above hν ≈4.4 eV. These thresholds correspond to the minimum energy required to create single or multiple hot holes in the Au d-bands, respectively. This study provides important new insights into the use of light to control the structure and function of molecular switches in direct contact with metal electrodes.

  9. Excitation mechanism in the photoisomerization of a surface-bound azobenzene derivative: Role of the metallic substrate

    International Nuclear Information System (INIS)

    Hagen, Sebastian; Kate, Peter; Leyssner, Felix; Nandi, Dhananjay; Wolf, Martin; Tegeder, Petra

    2008-01-01

    Two-photon photoemission spectroscopy is employed to elucidate the electronic structure and the excitation mechanism in the photoinduced isomerization of the molecular switch tetra-tert-butyl-azobenzene (TBA) adsorbed on Au(111). Our results demonstrate that the optical excitation and the mechanism of molecular switching at a metal surface is completely different compared to the corresponding process for the free molecule. In contrast to direct (intramolecular) excitation operative in the isomerization in the liquid phase, the conformational change in the surface-bound TBA is driven by a substrate-mediated charge transfer process. We find that photoexcitation above a threshold hν≅2.2 eV leads to hole formation in the Au d-band followed by a hole transfer to the highest occupied molecular orbital of TBA. This transiently formed positive ion resonance subsequently results in a conformational change. The photon energy dependent photoisomerization cross section exhibit an unusual shape for a photochemical reaction of an adsorbate on a metal surface. It shows a thresholdlike behavior below hν≅2.2 eV and above hν≅4.4 eV. These thresholds correspond to the minimum energy required to create single or multiple hot holes in the Au d-bands, respectively. This study provides important new insights into the use of light to control the structure and function of molecular switches in direct contact with metal electrodes.

  10. Method of producing an electronic unit having a polydimethylsiloxane substrate and circuit lines

    Energy Technology Data Exchange (ETDEWEB)

    Davidson, James Courtney [Livermore, CA; Krulevitch, Peter A [Pleasanton, CA; Maghribi, Mariam N [Livermore, CA; Benett, William J [Livermore, CA; Hamilton, Julie K [Tracy, CA; Tovar, Armando R [San Antonio, TX

    2012-06-19

    A system of metalization in an integrated polymer microsystem. A flexible polymer substrate is provided and conductive ink is applied to the substrate. In one embodiment the flexible polymer substrate is silicone. In another embodiment the flexible polymer substrate comprises poly(dimethylsiloxane).

  11. Metallization of Various Polymers by Cold Spray

    Science.gov (United States)

    Che, Hanqing; Chu, Xin; Vo, Phuong; Yue, Stephen

    2018-01-01

    Previous results have shown that metallic coatings can be successfully cold sprayed onto polymeric substrates. This paper studies the cold sprayability of various metal powders on different polymeric substrates. Five different substrates were used, including carbon fiber reinforced polymer (CFRP), acrylonitrile butadiene styrene (ABS), polyether ether ketone (PEEK), polyethylenimine (PEI); mild steel was also used as a benchmark substrate. The CFRP used in this work has a thermosetting matrix, and the ABS, PEEK and PEI are all thermoplastic polymers, with different glass transition temperatures as well as a number of distinct mechanical properties. Three metal powders, tin, copper and iron, were cold sprayed with both a low-pressure system and a high-pressure system at various conditions. In general, cold spray on the thermoplastic polymers rendered more positive results than the thermosetting polymers, due to the local thermal softening mechanism in the thermoplastics. Thick copper coatings were successfully deposited on PEEK and PEI. Based on the results, a method is proposed to determine the feasibility and deposition window of cold spraying specific metal powder/polymeric substrate combinations.

  12. Supersonic laser spray of aluminium alloy on a ceramic substrate

    International Nuclear Information System (INIS)

    Riveiro, A.; Lusquinos, F.; Comesana, R.; Quintero, F.; Pou, J.

    2007-01-01

    Applying a ceramic coating onto a metallic substrate to improve its wear resistance or corrosion resistance has attracted the interest of many researchers during decades. However, only few works explore the possibility to apply a metallic layer onto a ceramic material. This work presents a novel technique to coat ceramic materials with metals: the supersonic laser spraying. In this technique a laser beam is focused on the surface of the precursor metal in such a way that the metal is transformed to the liquid state in the beam-metal interaction zone. A supersonic jet expels the molten material and propels it to the surface of the ceramic substrate. In this study, we present the preliminary results obtained using the supersonic laser spray to coat a commercial cordierite ceramic plate with an Al-Cu alloy using a 3.5 kW CO 2 laser and a supersonic jet of Argon. Coatings were characterized by scanning electron microscopy (SEM) and interferometric profilometry

  13. Structure and magnetic properties of NdFeB thin films with Cr, Mo, Nb, Ta, Ti, and V buffer layers

    International Nuclear Information System (INIS)

    Jiang, H.; O'Shea, M.J.

    2000-01-01

    Layers of NdFeB of the form A(20 nm)/NdFeB(d nm)/A(20 nm) where A represents Cr, Mo, Nb, Ta, Ti, V were prepared on a silicon substrate by magnetron sputtering. The purpose is to determine how (i) the chosen buffer layer and (ii) NdFeB layer thickness d (especially d 2 Fe 14 B with no preferred crystalline orientation. Our highest coercivities occur for buffer layer elements from row five of the periodic table, 20 kOe (1600 kA/m) in a Nb buffered sample with d of 180 nm and 17 kOe (1350 kA/m) in a Mo buffered sample with d of 180 nm. Buffer layers from row four (Ti, V, and Cr) and row six (Ta) all give lower coercivities. Our largest energy product, 10.3 MG-Oe (82 kJ/m 3 ), is obtained for the Mo buffered sample. Average Nd 2 Fe 14 B crystallite size for this sample is 27 nm. Only the Cr and Ti buffered films show a large coercivity (≥2 kOe) for d of 54 nm with the Cr films showing the highest coercivity, 2.7 kOe (215 kA/m). In films subjected to a rapid thermal anneal (anneal time 30 s) we find that both the coercivity and energy product are larger than in samples subjected to a 20 min anneal. In our Nb buffered systems we obtain coercivities as high as 26.3 kOe (2090 kA/m) after a rapid thermal anneal

  14. Developing suitable buffers to capture transport cycling behavior

    DEFF Research Database (Denmark)

    Madsen, Thomas; Schipperijn, Jasper; Christiansen, Lars Breum

    2014-01-01

    units (e.g., home-buffer-based neighborhoods) are not necessarily representative for environmental exposure. An increased understanding of appropriate neighborhoods is needed. GPS cycling tracks from 78 participants for 7 days form the basis for the development and testing of different neighborhood...... buffers for transport cycling. The percentage of GPS points per square meter was used as indicator of the effectiveness of a series of different buffer types, including home-based network buffers, shortest route to city center buffers, and city center-directed ellipse-shaped buffers. The results show...... center-directed ellipse-shaped buffers yielded better results than traditional home-based network buffer types. The ellipse-shaped buffer types could therefore be considered an alternative to more traditional buffers or administrative units in future studies of transport cycling behavior....

  15. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  16. High Precision Metal Thin Film Liftoff Technique

    Science.gov (United States)

    Brown, Ari D. (Inventor); Patel, Amil A. (Inventor)

    2015-01-01

    A metal film liftoff process includes applying a polymer layer onto a silicon substrate, applying a germanium layer over the polymer layer to create a bilayer lift off mask, applying a patterned photoresist layer over the germanium layer, removing an exposed portion of the germanium layer, removing the photoresist layer and a portion of the polymer layer to expose a portion of the substrate and create an overhanging structure of the germanium layer, depositing a metal film over the exposed portion of the substrate and the germanium layer, and removing the polymer and germanium layers along with the overlaying metal film.

  17. RESEARCH NEEDS IN RIPARIAN BUFFER RESTORATION

    Science.gov (United States)

    Riparian buffer restorations are used as management tools to produce favorable water quality impacts; moreover, the basis for riparian buffers as an instrument of water quality restoration rests on a relatively firm foundation. However, the extent to which buffers can restore rip...

  18. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  19. Buffer sizing for multi-hop networks

    KAUST Repository

    Shihada, Basem

    2014-01-28

    A cumulative buffer may be defined for an interference domain in a wireless mesh network and distributed among nodes in the network to maintain or improve capacity utilization of network resources in the interference domain without increasing packet queuing delay times. When an interference domain having communications links sharing resources in a network is identified, a cumulative buffer size is calculated. The cumulative buffer may be distributed among buffers in each node of the interference domain according to a simple division or according to a cost function taking into account a distance of the communications link from the source and destination. The network may be monitored and the cumulative buffer size recalculated and redistributed when the network conditions change.

  20. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tay, Roland Yingjie [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Tsang, Siu Hon [Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Loeblein, Manuela; Chow, Wai Leong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); CNRS-International NTU Thales Research Alliance CINTRA UMI 3288, Research Techno Plaza, 50 Nanyang Drive, Singapore, Singapore 637553 (Singapore); Loh, Guan Chee [Institue of High Performance Computing, 1 Fusionopolis Way, #16-16 Connexis, Singapore 138632 (Singapore); Department of Physics, Michigan Technological University, Houghton, Michigan 49931 (United States); Toh, Joo Wah; Ang, Soon Loong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Teo, Edwin Hang Tong, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore)

    2015-03-09

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random and uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.