WorldWideScience

Sample records for aperture masking interferometry

  1. Active galactic nucleus and quasar science with aperture masking interferometry on the James Webb Space Telescope

    Energy Technology Data Exchange (ETDEWEB)

    Ford, K. E. Saavik; McKernan, Barry [Department of Science, Borough of Manhattan Community College, City University of New York, New York, NY 10007 (United States); Sivaramakrishnan, Anand; Martel, André R.; Koekemoer, Anton [Space Telescope Science Institute, 3700 San Martin Drive, Baltimore, MD 21218 (United States); Lafrenière, David [Département de Physique, Université de Montréal, C.P. 6128 Succ. Centre-ville, QC H3C 3J7 (Canada); Parmentier, Sébastien [Department of Physics and Astronomy, Stony Brook University, Stony Brook, NY 11794 (United States)

    2014-03-10

    Due to feedback from accretion onto supermassive black holes (SMBHs), active galactic nuclei (AGNs) are believed to play a key role in ΛCDM cosmology and galaxy formation. However, AGNs extreme luminosities and the small angular size of their accretion flows create a challenging imaging problem. We show that the James Webb Space Telescope's Near Infrared Imager and Slitless Spectrograph (JWST-NIRISS) Aperture Masking Interferometry (AMI) mode will enable true imaging (i.e., without any requirement of prior assumptions on source geometry) at ∼65 mas angular resolution at the centers of AGNs. This is advantageous for studying complex extended accretion flows around SMBHs and in other areas of angular-resolution-limited astrophysics. By simulating data sequences incorporating expected sources of noise, we demonstrate that JWST-NIRISS AMI mode can map extended structure at a pixel-to-pixel contrast of ∼10{sup –2} around an L = 7.5 point source, using short exposure times (minutes). Such images will test models of AGN feedback, fueling, and structure (complementary with ALMA observations), and are not currently supported by any ground-based IR interferometer or telescope. Binary point source contrast with NIRISS is ∼10{sup –4} (for observing binary nuclei in merging galaxies), significantly better than current ground-based optical or IR interferometry. JWST-NIRISS's seven-hole non-redundant mask has a throughput of 15%, and utilizes NIRISS's F277W (2.77 μm), F380M (3.8 μm), F430M (4.3 μm), and F480M (4.8 μm) filters. NIRISS's square pixels are 65 mas per side, with a field of view ∼2' × 2'. We also extrapolate our results to AGN science enabled by non-redundant masking on future 2.4 m and 16 m space telescopes working at long-UV to near-IR wavelengths.

  2. Random mask optimization for fast neutron coded aperture imaging

    Energy Technology Data Exchange (ETDEWEB)

    McMillan, Kyle [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Univ. of California, Los Angeles, CA (United States); Marleau, Peter [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Brubaker, Erik [Sandia National Lab. (SNL-CA), Livermore, CA (United States)

    2015-05-01

    In coded aperture imaging, one of the most important factors determining the quality of reconstructed images is the choice of mask/aperture pattern. In many applications, uniformly redundant arrays (URAs) are widely accepted as the optimal mask pattern. Under ideal conditions, thin and highly opaque masks, URA patterns are mathematically constructed to provide artifact-free reconstruction however, the number of URAs for a chosen number of mask elements is limited and when highly penetrating particles such as fast neutrons and high-energy gamma-rays are being imaged, the optimum is seldom achieved. In this case more robust mask patterns that provide better reconstructed image quality may exist. Through the use of heuristic optimization methods and maximum likelihood expectation maximization (MLEM) image reconstruction, we show that for both point and extended neutron sources a random mask pattern can be optimized to provide better image quality than that of a URA.

  3. Mask design and fabrication in coded aperture imaging

    International Nuclear Information System (INIS)

    Shutler, Paul M.E.; Springham, Stuart V.; Talebitaher, Alireza

    2013-01-01

    We introduce the new concept of a row-spaced mask, where a number of blank rows are interposed between every pair of adjacent rows of holes of a conventional cyclic difference set based coded mask. At the cost of a small loss in signal-to-noise ratio, this can substantially reduce the number of holes required to image extended sources, at the same time increasing mask strength uniformly across the aperture, as well as making the mask automatically self-supporting. We also show that the Finger and Prince construction can be used to wrap any cyclic difference set onto a two-dimensional mask, regardless of the number of its pixels. We use this construction to validate by means of numerical simulations not only the performance of row-spaced masks, but also the pixel padding technique introduced by in ’t Zand. Finally, we provide a computer program CDSGEN.EXE which, on a fast modern computer and for any Singer set of practical size and open fraction, generates the corresponding pattern of holes in seconds

  4. Design criteria for small coded aperture masks in gamma-ray astronomy

    International Nuclear Information System (INIS)

    Sembay, S.; Gehrels, N.

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy γ-ray astronomy has concentrated on masks with large numbers of elements. For γ-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyse by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. In this paper we develop a particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns. We then present the results of such a computer analysis for masks up to dimension 5x5 unit cell and conclude that there is a great deal of flexibility in one's choice of mask pattern for each dimension. (orig.)

  5. AN IMAGE-PLANE ALGORITHM FOR JWST'S NON-REDUNDANT APERTURE MASK DATA

    Energy Technology Data Exchange (ETDEWEB)

    Greenbaum, Alexandra Z. [Johns Hopkins University Department of Physics and Astronomy 3400 North Charles, Baltimore, MD 21218 (United States); Pueyo, Laurent; Sivaramakrishnan, Anand [Space Telescope Science Institute, 3700 San Martin Drive, Baltimore, MD 21218 (United States); Lacour, Sylvestre [LESIA, CNRS/UMR-8109, Observatoire de Paris, UPMC, Université Paris Diderot 5 place Jules Janssen, 92195 Meudon (France)

    2015-01-10

    The high angular resolution technique of non-redundant masking (NRM) or aperture masking interferometry (AMI) has yielded images of faint protoplanetary companions of nearby stars from the ground. AMI on James Webb Space Telescope (JWST)'s Near Infrared Imager and Slitless Spectrograph (NIRISS) has a lower thermal background than ground-based facilities and does not suffer from atmospheric instability. NIRISS AMI images are likely to have 90%-95% Strehl ratio between 2.77 and 4.8 μm. In this paper we quantify factors that limit the raw point source contrast of JWST NRM. We develop an analytic model of the NRM point spread function which includes different optical path delays (pistons) between mask holes and fit the model parameters with image plane data. It enables a straightforward way to exclude bad pixels, is suited to limited fields of view, and can incorporate effects such as intra-pixel sensitivity variations. We simulate various sources of noise to estimate their effect on the standard deviation of closure phase, σ{sub CP} (a proxy for binary point source contrast). If σ{sub CP} < 10{sup –4} radians—a contrast ratio of 10 mag—young accreting gas giant planets (e.g., in the nearby Taurus star-forming region) could be imaged with JWST NIRISS. We show the feasibility of using NIRISS' NRM with the sub-Nyquist sampled F277W, which would enable some exoplanet chemistry characterization. In the presence of small piston errors, the dominant sources of closure phase error (depending on pixel sampling, and filter bandwidth) are flat field errors and unmodeled variations in intra-pixel sensitivity. The in-flight stability of NIRISS will determine how well these errors can be calibrated by observing a point source. Our results help develop efficient observing strategies for space-based NRM.

  6. MEGARA Optics: Sub-aperture Stitching Interferometry for Large Surfaces

    Science.gov (United States)

    Aguirre-Aguirre, Daniel; Carrasco, Esperanza; Izazaga-Pérez, Rafael; Páez, Gonzalo; Granados-Agustín, Fermín; Percino-Zacarías, Elizabeth; Gil de Paz, Armando; Gallego, Jesús; Iglesias-Páramo, Jorge; Villalobos-Mendoza, Brenda

    2018-04-01

    In this work, we present a detailed analysis of sub-aperture interferogram stitching software to test circular and elliptical clear apertures with diameters and long axes up to 272 and 180 mm, respectively, from the Multi-Espectrógrafo en GTC de Alta Resolución para Astronomía (MEGARA). MEGARA is a new spectrograph for the Gran Telescopio Canarias (GTC). It offers a resolution between 6000 and 20000 via the use of volume phase holographic gratings. It has an integral field unit and a set of robots for multi-object spectroscopy at the telescope focal plane. The output end of the fibers forms the spectrograph pseudo-slit. The fixed geometry of the collimator and camera configuration requires prisms in addition to the flat windows of the volume phase holographic gratings. There are 73 optical elements of large aperture and high precision manufactured in Mexico at the Instituto Nacional de Astrofísica, Óptica y Electrónica (INAOE) and the Centro de Investigaciones en Óptica (CIO). The principle of stitching interferometry is to divide the surface being tested into overlapping small sections, which allows an easier analysis (Kim & Wyant 1981). This capability is ideal for non-contact tests for unique and large optics as required by astronomical instruments. We show that the results obtained with our sub-aperture stitching algorithm were consistent with other methods that analyze the entire aperture. We used this method to analyze the 24 MEGARA prisms that could not be tested otherwise. The instrument has been successfully commissioned at GTC in all the spectral configurations. The fulfillment of the irregularity specifications was one of the necessary conditions to comply with the spectral requirements.

  7. An evaluation of the background introduced from the coded aperture mask in the low energy gamma-ray telescope ZEBRA

    International Nuclear Information System (INIS)

    Butler, R.C.; Caroli, E.; Di Cocco, G.; Maggioli, P.P.; Spizzichino, A.; Charalambous, P.M.; Dean, A.J.; Drane, M.; Gil, A.; Stephen, J.B.; Perotti, F.; Villa, G.; Badiali, M.; La Padula, C.; Polcaro, F.; Ubertini, P.

    1984-01-01

    The background which arises from the presence of a coded aperture mask is evaluated. The major contributions which have been considered here are the interactions with the mask of the isotropic gamma-ray background, a parallel gamma-ray beam, neutrons and the effect of the mask element profile. It is shown that none of these factors conbribute to a significant excess or modulation in the background counting rate over the detection plane. In this way the use of a passive rather than an active coded aperture mask is seen to be suitable for use in a low energy gamma-ray telescope. (orig.)

  8. Three-dimensional coastal geomorphology deformation modelling using differential synthetic aperture interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Marghany, Maged [Universiti Teknologi Malaysia, Skudai, Johor Bahru (Malaysia). Inst. for Science and Technology Geospatial (INSTeG)

    2012-06-15

    This work presents a new approach for three-dimensional (3D) coastal deformation simulation using differential synthetic aperture interferometry (DInSAR). In doing so, conventional InSAR procedures are implemented to three repeat passes of RADARSAT-1 SAR fine mode data (F1). Further, the DInSAR method is implemented with the phase unwrapping technique. Consequently, DInSAR is used to eliminate the phase decorrelation impact from the interferograms. The study shows the accurate performance of DInSAR with a root mean square error of 0.02 {+-} 0.21 m and 90% confidence intervals. In conclusion, the DInSAR technique produces an accurate 3D coastal geomorphology reconstruction. (orig.)

  9. Method for measuring the focal spot size of an x-ray tube using a coded aperture mask and a digital detector.

    Science.gov (United States)

    Russo, Paolo; Mettivier, Giovanni

    2011-04-01

    The goal of this study is to evaluate a new method based on a coded aperture mask combined with a digital x-ray imaging detector for measurements of the focal spot sizes of diagnostic x-ray tubes. Common techniques for focal spot size measurements employ a pinhole camera, a slit camera, or a star resolution pattern. The coded aperture mask is a radiation collimator consisting of a large number of apertures disposed on a predetermined grid in an array, through which the radiation source is imaged onto a digital x-ray detector. The method of the coded mask camera allows one to obtain a one-shot accurate and direct measurement of the two dimensions of the focal spot (like that for a pinhole camera) but at a low tube loading (like that for a slit camera). A large number of small apertures in the coded mask operate as a "multipinhole" with greater efficiency than a single pinhole, but keeping the resolution of a single pinhole. X-ray images result from the multiplexed output on the detector image plane of such a multiple aperture array, and the image of the source is digitally reconstructed with a deconvolution algorithm. Images of the focal spot of a laboratory x-ray tube (W anode: 35-80 kVp; focal spot size of 0.04 mm) were acquired at different geometrical magnifications with two different types of digital detector (a photon counting hybrid silicon pixel detector with 0.055 mm pitch and a flat panel CMOS digital detector with 0.05 mm pitch) using a high resolution coded mask (type no-two-holes-touching modified uniformly redundant array) with 480 0.07 mm apertures, designed for imaging at energies below 35 keV. Measurements with a slit camera were performed for comparison. A test with a pinhole camera and with the coded mask on a computed radiography mammography unit with 0.3 mm focal spot was also carried out. The full width at half maximum focal spot sizes were obtained from the line profiles of the decoded images, showing a focal spot of 0.120 mm x 0.105 mm at 35

  10. Extended averaging phase-shift schemes for Fizeau interferometry on high-numerical-aperture spherical surfaces

    Science.gov (United States)

    Burke, Jan

    2010-08-01

    Phase-shifting Fizeau interferometry on spherical surfaces is impaired by phase-shift errors increasing with the numerical aperture, unless a custom optical set-up or wavelength shifting is used. This poses a problem especially for larger numerical apertures, and requires good error tolerance of the phase-shift method used; but it also constitutes a useful testing facility for phase-shift formulae, because a vast range of phase-shift intervals can be tested in a single measurement. In this paper I show how the "characteristic polynomials" method can be used to generate a phase-shifting method for the actual numerical aperture, and analyse residual cyclical phase errors by comparing a phase map from an interferogram with a few fringes to a phase mpa from a nulled fringe. Unrelated to the phase-shift miscalibration, thirdharmonic error fringes are found. These can be dealt with by changing the nominal phase shift from 90°/step to 60°/step and re-tailoring the evaluation formula for third-harmonic rejection. The residual error has the same frequency as the phase-shift signal itself, and can be removed by averaging measurements. Some interesting features of the characteristic polynomials for the averaged formulae emerge, which also shed some light on the mechanism that generates cyclical phase errors.

  11. Stitching interferometry of high numerical aperture cylindrical optics without using a fringe-nulling routine.

    Science.gov (United States)

    Peng, Junzheng; Wang, Qingquan; Peng, Xiang; Yu, Yingjie

    2015-11-01

    Stitching interferometry is a common method for measuring the figure error of high numerical aperture optics. However, subaperture measurement usually requires a fringe-nulling routine, thus making the stitching procedure complex and time-consuming. The challenge when measuring a surface without a fringe-nulling routine is that the rays no longer perpendicularly hit the surface. This violation of the null-test condition can lead to high fringe density and introduce high-order misalignment aberrations into the measurement result. This paper demonstrates that the high-order misalignment aberrations can be characterized by low-order misalignment aberrations; then, an efficient method is proposed to separate the high-order misalignment aberrations from subaperture data. With the proposed method, the fringe-nulling routine is not required. Instead, the subaperture data is measured under a nonzero fringe pattern. Then, all possible misalignment aberrations are removed with the proposed method. Finally, the full aperture map is acquired by connecting all subaperture data together. Experimental results showing the feasibility of the proposed procedure are presented.

  12. High-contrast Nulling Interferometry Techniques Project

    Data.gov (United States)

    National Aeronautics and Space Administration — "We are developing rotating-baseline nulling-interferometry techniques and algorithms on the single-aperture Hale and Keck telescopes at near-infrared wavelengths,...

  13. Synthetic Aperture Radar (SAR Interferometry for Assessing Wenchuan Earthquake (2008 Deforestation in the Sichuan Giant Panda Site

    Directory of Open Access Journals (Sweden)

    Fulong Chen

    2014-07-01

    Full Text Available Synthetic aperture radar (SAR has been an unparalleled tool in cloudy and rainy regions as it allows observations throughout the year because of its all-weather, all-day operation capability. In this paper, the influence of Wenchuan Earthquake on the Sichuan Giant Panda habitats was evaluated for the first time using SAR interferometry and combining data from C-band Envisat ASAR and L-band ALOS PALSAR data. Coherence analysis based on the zero-point shifting indicated that the deforestation process was significant, particularly in habitats along the Min River approaching the epicenter after the natural disaster, and as interpreted by the vegetation deterioration from landslides, avalanches and debris flows. Experiments demonstrated that C-band Envisat ASAR data were sensitive to vegetation, resulting in an underestimation of deforestation; in contrast, L-band PALSAR data were capable of evaluating the deforestation process owing to a better penetration and the significant coherence gain on damaged forest areas. The percentage of damaged forest estimated by PALSAR decreased from 20.66% to 17.34% during 2009–2010, implying an approximate 3% recovery rate of forests in the earthquake impacted areas. This study proves that long-wavelength SAR interferometry is promising for rapid assessment of disaster-induced deforestation, particularly in regions where the optical acquisition is constrained.

  14. Correlated statistical uncertainties in coded-aperture imaging

    International Nuclear Information System (INIS)

    Fleenor, Matthew C.; Blackston, Matthew A.; Ziock, Klaus P.

    2015-01-01

    In nuclear security applications, coded-aperture imagers can provide a wealth of information regarding the attributes of both the radioactive and nonradioactive components of the objects being imaged. However, for optimum benefit to the community, spatial attributes need to be determined in a quantitative and statistically meaningful manner. To address a deficiency of quantifiable errors in coded-aperture imaging, we present uncertainty matrices containing covariance terms between image pixels for MURA mask patterns. We calculated these correlated uncertainties as functions of variation in mask rank, mask pattern over-sampling, and whether or not anti-mask data are included. Utilizing simulated point source data, we found that correlations arose when two or more image pixels were summed. Furthermore, we found that the presence of correlations was heightened by the process of over-sampling, while correlations were suppressed by the inclusion of anti-mask data and with increased mask rank. As an application of this result, we explored how statistics-based alarming is impacted in a radiological search scenario

  15. Aperture Synthesis Methods and Applications to Optical Astronomy

    CERN Document Server

    Saha, Swapan Kumar

    2011-01-01

    Over the years long baseline optical interferometry has slowly gained in importance and today it is a powerful tool. This timely book sets out to highlight the basic principles of long baseline optical interferometry. The book addresses the fundamentals of stellar interferometry with emphasis on aperture synthesis using an array of telescopes particularly at optical/IR wavelengths. It discusses the fundamentals of electromagnetic fields, wave optics, interference, diffraction, and imaging at length. There is a chapter dedicated to radio and intensity interferometry corroborating with basic mathematical steps. The basic principle of optical interferometry and its requirements, its limitations and the technical challenges it poses, are also covered in depth. Assisted by illustrations and footnotes, the book examines the basic tricks of the trade, current trends and methods, and it points to the potential of true interferometry both from the ground and space.

  16. Mapping three-dimensional surface deformation by combining multiple-aperture interferometry and conventional interferometry: Application to the June 2007 eruption of Kilauea Volcano, Hawaii

    Science.gov (United States)

    Jung, H.-S.; Lu, Z.; Won, J.-S.; Poland, Michael P.; Miklius, Asta

    2011-01-01

    Surface deformation caused by an intrusion and small eruption during June 17-19, 2007, along the East Rift Zone of Kilauea Volcano, Hawaii, was three-dimensionally reconstructed from radar interferograms acquired by the Advanced Land Observing Satellite (ALOS) phased-array type L-band synthetic aperture radar (SAR) (PALSAR) instrument. To retrieve the 3-D surface deformation, a method that combines multiple-aperture interferometry (MAI) and conventional interferometric SAR (InSAR) techniques was applied to one ascending and one descending ALOS PALSAR interferometric pair. The maximum displacements as a result of the intrusion and eruption are about 0.8, 2, and 0.7 m in the east, north, and up components, respectively. The radar-measured 3-D surface deformation agrees with GPS data from 24 sites on the volcano, and the root-mean-square errors in the east, north, and up components of the displacement are 1.6, 3.6, and 2.1 cm, respectively. Since a horizontal deformation of more than 1 m was dominantly in the north-northwest-south-southeast direction, a significant improvement of the north-south component measurement was achieved by the inclusion of MAI measurements that can reach a standard deviation of 3.6 cm. A 3-D deformation reconstruction through the combination of conventional InSAR and MAI will allow for better modeling, and hence, a more comprehensive understanding, of the source geometry associated with volcanic, seismic, and other processes that are manifested by surface deformation.

  17. A system for airborne SAR interferometry

    DEFF Research Database (Denmark)

    Madsen, Søren Nørvang; Skou, Niels; Granholm, Johan

    1996-01-01

    Interferometric synthetic aperture radar (INSAR) systems have already demonstrated that elevation maps can be generated rapidly with single pass airborne across-track interferometry systems (XTT), and satellite repeat track interferometry (RTT) techniques have been used to map both elevation...... and perturbations of the surface of the Earth. The Danish Center for Remote Sensing (DCRS) has experimented with airborne INSAR since 1993. Multiple track data are collected in a special mode in which the radar directly steers the aircraft which allows for very precise control of the flight path. Such data sets......) the status of the airborne interferometry activities at DCRS, including the present system configuration, recent results, and some scientific applications of the system....

  18. Utilization of InSAR differential interferometry for surface deformation detection caused by mining

    International Nuclear Information System (INIS)

    Yang, F.; Shao, Y.; Guichen, M.

    2010-01-01

    In China, the surface deformation of ground has been a significant geotechnical problem as a result of cracks in the ground surface, collapsing of house, and subsidence of roads. A powerful technology for detecting surface deformation in the ground is differential interferometry using synthetic aperture radar (INSAR). The technology enables the analysis from different phase of micro-wave between two observed data by synthetic aperture radar (SAR) of surface deformation of ground such as ground subsidence, land slide, and slope failure. In January 2006, the advanced land observing satellite was launched by the Japan Aerospace Exploration Agency. This paper presented an analytical investigation to detect ground subsidence or change caused by mining, overuse of ground water, and disaster. Specifically, the paper discussed the INSAR monitoring technology of the mine slope, including INSAR data sources and processing software; the principle of synthetic aperture radar interferometry; principles of differential SAR interferometry; and INSAR technology to slope monitoring of the Haizhou open pit mine. The paper also discussed the Haizhou strip mine side slope INSAR monitoring results and tests. It was concluded that the use of synthetic aperture radar interferometer technique was the optimal technique to provide three-dimensional spatial information and minimal change from ground surface by spatial remote sensing device. 18 refs., 5 figs.

  19. Utilization of InSAR differential interferometry for surface deformation detection caused by mining

    Energy Technology Data Exchange (ETDEWEB)

    Yang, F. [Liaoning Technical Univ., Fuxin (China). School of Geomatics; Shao, Y. [Liaoning Technical Univ., Fuxin (China). Dept. of Foreign Language; Guichen, M. [Gifu Univ., Yanagido, Gifu (Japan). Dept. of Civil Engineering

    2010-07-01

    In China, the surface deformation of ground has been a significant geotechnical problem as a result of cracks in the ground surface, collapsing of house, and subsidence of roads. A powerful technology for detecting surface deformation in the ground is differential interferometry using synthetic aperture radar (INSAR). The technology enables the analysis from different phase of micro-wave between two observed data by synthetic aperture radar (SAR) of surface deformation of ground such as ground subsidence, land slide, and slope failure. In January 2006, the advanced land observing satellite was launched by the Japan Aerospace Exploration Agency. This paper presented an analytical investigation to detect ground subsidence or change caused by mining, overuse of ground water, and disaster. Specifically, the paper discussed the INSAR monitoring technology of the mine slope, including INSAR data sources and processing software; the principle of synthetic aperture radar interferometry; principles of differential SAR interferometry; and INSAR technology to slope monitoring of the Haizhou open pit mine. The paper also discussed the Haizhou strip mine side slope INSAR monitoring results and tests. It was concluded that the use of synthetic aperture radar interferometer technique was the optimal technique to provide three-dimensional spatial information and minimal change from ground surface by spatial remote sensing device. 18 refs., 5 figs.

  20. Fabrication of high-aspect-ratio nano structures using a nano x-ray shadow mask

    International Nuclear Information System (INIS)

    Kim, Yong Chul; Lee, Seung S

    2008-01-01

    This paper describes a novel method for the fabrication of high-aspect-ratio nano structures (HAR-nano structures) using a nano x-ray shadow mask and deep x-ray lithography (DXRL). The nano x-ray shadow mask is fabricated by depositing an x-ray absorber layer (Au, 3 µm) onto the back side of a nano shadow mask. The nano shadow mask is produced with nano-sized apertures whose dimensions are reduced to several tens of nanometers by the accumulation of low-stress silicon nitride (Si x N y ) using the LPCVD process on the shadow mask. A shadow mask containing apertures with a size of 1 µm is fabricated on a bulk micromachined Si x N y membrane. The thickness of an absorber layer must be in the range of several tens of micrometers in order to obtain a contrast of more than 100 for the conventional DXRL process at the Pohang Light Source (PLS). However, a 3 µm thick absorber layer can provide a sufficient contrast if the modified DXRL of the central beam-stop method is used, which blocks high-energy x-rays. A nano shadow mask with 30 nm sized apertures is fabricated and a nano x-ray shadow mask with 250 nm sized apertures is fabricated by depositing a 3 µm thick absorber layer on a nano shadow mask with 500 nm sized apertures. HAR-nano structures (circles with a diameter of 420 nm and lines with a width of 274 nm) with aspect ratios of over 10:1 on a 3.2 µm SU-8 are successfully fabricated by using the nano x-ray shadow mask and the central beam-stop method

  1. High performance Lyot and PIAA coronagraphy for arbitrarily shaped telescope apertures

    Energy Technology Data Exchange (ETDEWEB)

    Guyon, Olivier; Hinz, Philip M. [Steward Observatory, University of Arizona, Tucson, AZ 85721 (United States); Cady, Eric [Jet Propulsion Laboratory, 4800 Oak Grove Drive, Pasadena, CA 91109 (United States); Belikov, Ruslan [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Martinache, Frantz, E-mail: guyon@naoj.org [National Astronomical Observatory of Japan, Subaru Telescope, Hilo, HI 96720 (United States)

    2014-01-10

    Two high-performance coronagraphic approaches compatible with segmented and obstructed telescope pupils are described. Both concepts use entrance pupil amplitude apodization and a combined phase and amplitude focal plane mask to achieve full coronagraphic extinction of an on-axis point source. While the first concept, called Apodized Pupil Complex Mask Lyot Coronagraph (APCMLC), relies on a transmission mask to perform the pupil apodization, the second concept, called Phase-Induced Amplitude Apodization complex mask coronagraph (PIAACMC), uses beam remapping for lossless apodization. Both concepts theoretically offer complete coronagraphic extinction (infinite contrast) of a point source in monochromatic light, with high throughput and sub-λ/D inner working angle, regardless of aperture shape. The PIAACMC offers nearly 100% throughput and approaches the fundamental coronagraph performance limit imposed by first principles. The steps toward designing the coronagraphs for arbitrary apertures are described for monochromatic light. Designs for the APCMLC and the higher performance PIAACMC are shown for several monolith and segmented apertures, such as the apertures of the Subaru Telescope, Giant Magellan Telescope, Thirty Meter Telescope, the European Extremely Large Telescope, and the Large Binocular Telescope. Performance in broadband light is also quantified, suggesting that the monochromatic designs are suitable for use in up to 20% wide spectral bands for ground-based telescopes.

  2. Coseismic Deformation of Chi-Chi Earthquake as Detected by Differential Synthetic Aperture Radar Interferometry and GPS Data

    Directory of Open Access Journals (Sweden)

    Chia-Sheng Hsieh Tian-Yuan Shih

    2006-01-01

    Full Text Available A rupture in the Chelungpu fault caused an Mw 7.6 earthquake on 21 September 1999 near Chi-Chi in central Taiwan. This earthquake was the most destructive experienced in Taiwan for the past century along this fault. In this study, we examined the earthquake-induced surface deformation pattern using differential synthetic aperture radar interferometry (D-InSAR combined with global positioning system (GPS data regarding the footwall of the Chelungpu fault. Six synthetic aperture radar (SAR scenes, approximately 100 × 100 km each, recorded by the European Remote Sensing Satellite 2 (ERS-2, spanning the rupture area, were selected for study. The data were used to generate a high-resolution, wide-area map of displacements in flat or semi-flat areas. Interferograms show radar line contours indicating line-of-sight (LOS changes corresponding to surface displacements caused by earthquake ruptures. These results were compared to synthetic interferograms generated from GPS data. Displacements shown by GPS data were interpolated onto wide-area maps and transformed to coincide with the radar LOS direction. The resulting coseismic displacement contour map showed a lobed pattern consistent with the precise GPSbased displacement field. Highly accurate vertical displacement was determined using D-InSAR data using the coordinate transform method, while GPS data was effective in showing the horizontal component. Thus, this study confirmed the effectiveness of the D-InSAR method for determining the coseismic deformation caused by the Chi-Chi earthquake at the footwall of the Chelungpu fault.

  3. Temporal Decorrelation Effect in Carbon Stocks Estimation Using Polarimetric Interferometry Synthetic Aperture Radar (PolInSAR (Case Study: Southeast Sulawesi Tropical Forest

    Directory of Open Access Journals (Sweden)

    Laode M Golok Jaya

    2017-07-01

    Full Text Available This paper was aimed to analyse the effect of temporal decorrelation in carbon stocks estimation. Estimation of carbon stocks plays important roles particularly to understand the global carbon cycle in the atmosphere regarding with climate change mitigation effort. PolInSAR technique combines the advantages of Polarimetric Synthetic Aperture Radar (PolSAR and Interferometry Synthetic Aperture Radar (InSAR technique, which is evidenced to have significant contribution in radar mapping technology in the last few years. In carbon stocks estimation, PolInSAR provides information about vertical vegetation structure to estimate carbon stocks in the forest layers. Two coherence Synthetic Aperture Radar (SAR images of ALOS PALSAR full-polarimetric with 46 days temporal baseline were used in this research. The study was carried out in Southeast Sulawesi tropical forest. The research method was by comparing three interferometric phase coherence images affected by temporal decorrelation and their impacts on Random Volume over Ground (RvoG model. This research showed that 46 days temporal baseline has a significant impact to estimate tree heights of the forest cover where the accuracy decrease from R2=0.7525 (standard deviation of tree heights is 2.75 meters to R2=0.4435 (standard deviation 4.68 meters and R2=0.3772 (standard deviation 3.15 meters respectively. However, coherence optimisation can provide the best coherence image to produce a good accuracy of carbon stocks.

  4. Design of TOPAZ masking system using EGS4

    International Nuclear Information System (INIS)

    Uno, Shoji

    1991-01-01

    There are two sources of the beam background in the e + e - collider experiments. One source is the synchrotron radiation from many magnets. Another source comes from the spent-electron hitting the beam pipe near the interaction region. To reduce the these background, TOPAZ masking system was designed using EGS4 code. The designed masking system consists of two pairs of masks which are called mask-1 and mask-2. The mask-1 is placed to intercept the spent-electron. The aperture of the mask-2 was determined for the synchrotron radiation photons not to hit the mask-1 directly. After these masks were installed, we are taking the data in the small beam background. (author)

  5. Fast-neutron, coded-aperture imager

    Science.gov (United States)

    Woolf, Richard S.; Phlips, Bernard F.; Hutcheson, Anthony L.; Wulf, Eric A.

    2015-06-01

    This work discusses a large-scale, coded-aperture imager for fast neutrons, building off a proof-of concept instrument developed at the U.S. Naval Research Laboratory (NRL). The Space Science Division at the NRL has a heritage of developing large-scale, mobile systems, using coded-aperture imaging, for long-range γ-ray detection and localization. The fast-neutron, coded-aperture imaging instrument, designed for a mobile unit (20 ft. ISO container), consists of a 32-element array of 15 cm×15 cm×15 cm liquid scintillation detectors (EJ-309) mounted behind a 12×12 pseudorandom coded aperture. The elements of the aperture are composed of 15 cm×15 cm×10 cm blocks of high-density polyethylene (HDPE). The arrangement of the aperture elements produces a shadow pattern on the detector array behind the mask. By measuring of the number of neutron counts per masked and unmasked detector, and with knowledge of the mask pattern, a source image can be deconvolved to obtain a 2-d location. The number of neutrons per detector was obtained by processing the fast signal from each PMT in flash digitizing electronics. Digital pulse shape discrimination (PSD) was performed to filter out the fast-neutron signal from the γ background. The prototype instrument was tested at an indoor facility at the NRL with a 1.8-μCi and 13-μCi 252Cf neutron/γ source at three standoff distances of 9, 15 and 26 m (maximum allowed in the facility) over a 15-min integration time. The imaging and detection capabilities of the instrument were tested by moving the source in half- and one-pixel increments across the image plane. We show a representative sample of the results obtained at one-pixel increments for a standoff distance of 9 m. The 1.8-μCi source was not detected at the 26-m standoff. In order to increase the sensitivity of the instrument, we reduced the fastneutron background by shielding the top, sides and back of the detector array with 10-cm-thick HDPE. This shielding configuration led

  6. Aperture Mask for Unambiguous Parity Determination in Long Wavelength Imagers

    Science.gov (United States)

    Bos, Brent

    2011-01-01

    A document discusses a new parity pupil mask design that allows users to unambiguously determine the image space coordinate system of all the James Webb Space Telescope (JWST) science instruments by using two out-of-focus images. This is an improvement over existing mask designs that could not completely eliminate the coordinate system parity ambiguity at a wavelength of 5.6 microns. To mitigate the problem of how the presence of diffraction artifacts can obscure the pupil mask detail, this innovation has been created with specifically designed edge features so that the image space coordinate system parity can be determined in the presence of diffraction, even at long wavelengths.

  7. Results from the coded aperture neutron imaging system

    International Nuclear Information System (INIS)

    Brubaker, Erik; Steele, John T.; Brennan, James S.; Marleau, Peter

    2010-01-01

    Because of their penetrating power, energetic neutrons and gamma rays (∼1 MeV) offer the best possibility of detecting highly shielded or distant special nuclear material (SNM). Of these, fast neutrons offer the greatest advantage due to their very low and well understood natural background. We are investigating a new approach to fast-neutron imaging - a coded aperture neutron imaging system (CANIS). Coded aperture neutron imaging should offer a highly efficient solution for improved detection speed, range, and sensitivity. We have demonstrated fast neutron and gamma ray imaging with several different configurations of coded masks patterns and detectors including an 'active' mask that is composed of neutron detectors. Here we describe our prototype detector and present some initial results from laboratory tests and demonstrations.

  8. Sources of Artefacts in Synthetic Aperture Radar Interferometry Data Sets

    Science.gov (United States)

    Becek, K.; Borkowski, A.

    2012-07-01

    In recent years, much attention has been devoted to digital elevation models (DEMs) produced using Synthetic Aperture Radar Interferometry (InSAR). This has been triggered by the relative novelty of the InSAR method and its world-famous product—the Shuttle Radar Topography Mission (SRTM) DEM. However, much less attention, if at all, has been paid to sources of artefacts in SRTM. In this work, we focus not on the missing pixels (null pixels) due to shadows or the layover effect, but rather on outliers that were undetected by the SRTM validation process. The aim of this study is to identify some of the causes of the elevation outliers in SRTM. Such knowledge may be helpful to mitigate similar problems in future InSAR DEMs, notably the ones currently being developed from data acquired by the TanDEM-X mission. We analysed many cross-sections derived from SRTM. These cross-sections were extracted over the elevation test areas, which are available from the Global Elevation Data Testing Facility (GEDTF) whose database contains about 8,500 runways with known vertical profiles. Whenever a significant discrepancy between the known runway profile and the SRTM cross-section was detected, a visual interpretation of the high-resolution satellite image was carried out to identify the objects causing the irregularities. A distance and a bearing from the outlier to the object were recorded. Moreover, we considered the SRTM look direction parameter. A comprehensive analysis of the acquired data allows us to establish that large metallic structures, such as hangars or car parking lots, are causing the outliers. Water areas or plain wet terrains may also cause an InSAR outlier. The look direction and the depression angle of the InSAR system in relation to the suspected objects influence the magnitude of the outliers. We hope that these findings will be helpful in designing the error detection routines of future InSAR or, in fact, any microwave aerial- or space-based survey. The

  9. SOURCES OF ARTEFACTS IN SYNTHETIC APERTURE RADAR INTERFEROMETRY DATA SETS

    Directory of Open Access Journals (Sweden)

    K. Becek

    2012-07-01

    Full Text Available In recent years, much attention has been devoted to digital elevation models (DEMs produced using Synthetic Aperture Radar Interferometry (InSAR. This has been triggered by the relative novelty of the InSAR method and its world-famous product—the Shuttle Radar Topography Mission (SRTM DEM. However, much less attention, if at all, has been paid to sources of artefacts in SRTM. In this work, we focus not on the missing pixels (null pixels due to shadows or the layover effect, but rather on outliers that were undetected by the SRTM validation process. The aim of this study is to identify some of the causes of the elevation outliers in SRTM. Such knowledge may be helpful to mitigate similar problems in future InSAR DEMs, notably the ones currently being developed from data acquired by the TanDEM-X mission. We analysed many cross-sections derived from SRTM. These cross-sections were extracted over the elevation test areas, which are available from the Global Elevation Data Testing Facility (GEDTF whose database contains about 8,500 runways with known vertical profiles. Whenever a significant discrepancy between the known runway profile and the SRTM cross-section was detected, a visual interpretation of the high-resolution satellite image was carried out to identify the objects causing the irregularities. A distance and a bearing from the outlier to the object were recorded. Moreover, we considered the SRTM look direction parameter. A comprehensive analysis of the acquired data allows us to establish that large metallic structures, such as hangars or car parking lots, are causing the outliers. Water areas or plain wet terrains may also cause an InSAR outlier. The look direction and the depression angle of the InSAR system in relation to the suspected objects influence the magnitude of the outliers. We hope that these findings will be helpful in designing the error detection routines of future InSAR or, in fact, any microwave aerial- or space

  10. Fast-neutron, coded-aperture imager

    International Nuclear Information System (INIS)

    Woolf, Richard S.; Phlips, Bernard F.; Hutcheson, Anthony L.; Wulf, Eric A.

    2015-01-01

    This work discusses a large-scale, coded-aperture imager for fast neutrons, building off a proof-of concept instrument developed at the U.S. Naval Research Laboratory (NRL). The Space Science Division at the NRL has a heritage of developing large-scale, mobile systems, using coded-aperture imaging, for long-range γ-ray detection and localization. The fast-neutron, coded-aperture imaging instrument, designed for a mobile unit (20 ft. ISO container), consists of a 32-element array of 15 cm×15 cm×15 cm liquid scintillation detectors (EJ-309) mounted behind a 12×12 pseudorandom coded aperture. The elements of the aperture are composed of 15 cm×15 cm×10 cm blocks of high-density polyethylene (HDPE). The arrangement of the aperture elements produces a shadow pattern on the detector array behind the mask. By measuring of the number of neutron counts per masked and unmasked detector, and with knowledge of the mask pattern, a source image can be deconvolved to obtain a 2-d location. The number of neutrons per detector was obtained by processing the fast signal from each PMT in flash digitizing electronics. Digital pulse shape discrimination (PSD) was performed to filter out the fast-neutron signal from the γ background. The prototype instrument was tested at an indoor facility at the NRL with a 1.8-μCi and 13-μCi 252Cf neutron/γ source at three standoff distances of 9, 15 and 26 m (maximum allowed in the facility) over a 15-min integration time. The imaging and detection capabilities of the instrument were tested by moving the source in half- and one-pixel increments across the image plane. We show a representative sample of the results obtained at one-pixel increments for a standoff distance of 9 m. The 1.8-μCi source was not detected at the 26-m standoff. In order to increase the sensitivity of the instrument, we reduced the fastneutron background by shielding the top, sides and back of the detector array with 10-cm-thick HDPE. This shielding configuration led

  11. Fast-neutron, coded-aperture imager

    Energy Technology Data Exchange (ETDEWEB)

    Woolf, Richard S., E-mail: richard.woolf@nrl.navy.mil; Phlips, Bernard F., E-mail: bernard.phlips@nrl.navy.mil; Hutcheson, Anthony L., E-mail: anthony.hutcheson@nrl.navy.mil; Wulf, Eric A., E-mail: eric.wulf@nrl.navy.mil

    2015-06-01

    This work discusses a large-scale, coded-aperture imager for fast neutrons, building off a proof-of concept instrument developed at the U.S. Naval Research Laboratory (NRL). The Space Science Division at the NRL has a heritage of developing large-scale, mobile systems, using coded-aperture imaging, for long-range γ-ray detection and localization. The fast-neutron, coded-aperture imaging instrument, designed for a mobile unit (20 ft. ISO container), consists of a 32-element array of 15 cm×15 cm×15 cm liquid scintillation detectors (EJ-309) mounted behind a 12×12 pseudorandom coded aperture. The elements of the aperture are composed of 15 cm×15 cm×10 cm blocks of high-density polyethylene (HDPE). The arrangement of the aperture elements produces a shadow pattern on the detector array behind the mask. By measuring of the number of neutron counts per masked and unmasked detector, and with knowledge of the mask pattern, a source image can be deconvolved to obtain a 2-d location. The number of neutrons per detector was obtained by processing the fast signal from each PMT in flash digitizing electronics. Digital pulse shape discrimination (PSD) was performed to filter out the fast-neutron signal from the γ background. The prototype instrument was tested at an indoor facility at the NRL with a 1.8-μCi and 13-μCi 252Cf neutron/γ source at three standoff distances of 9, 15 and 26 m (maximum allowed in the facility) over a 15-min integration time. The imaging and detection capabilities of the instrument were tested by moving the source in half- and one-pixel increments across the image plane. We show a representative sample of the results obtained at one-pixel increments for a standoff distance of 9 m. The 1.8-μCi source was not detected at the 26-m standoff. In order to increase the sensitivity of the instrument, we reduced the fastneutron background by shielding the top, sides and back of the detector array with 10-cm-thick HDPE. This shielding configuration led

  12. A-Differential Synthetic Aperture Radar Interferometry analysis of a Deep Seated Gravitational Slope Deformation occurring at Bisaccia (Italy)

    Energy Technology Data Exchange (ETDEWEB)

    Di Martire, Diego, E-mail: diego.dimartire@unina.it [Department of Earth Sciences, Environment and Resources, Federico II University of Naples, Largo San Marcellino 10, 80138 Naples (Italy); Novellino, Alessandro, E-mail: alessandro.novellino@unina.it [Department of Earth Sciences, Environment and Resources, Federico II University of Naples, Largo San Marcellino 10, 80138 Naples (Italy); Ramondini, Massimo, E-mail: ramondin@unina.it [Department of Civil, Architectural and Environmental Engineering, Federico II University of Naples, via Claudio 21, 80125 Naples (Italy); Calcaterra, Domenico, E-mail: domenico.calcaterra@unina.it [Department of Earth Sciences, Environment and Resources, Federico II University of Naples, Largo San Marcellino 10, 80138 Naples (Italy)

    2016-04-15

    This paper presents the results of an investigation on a Deep Seated Gravitational Slope Deformation (DSGSD), previously only hypothesized by some authors, affecting Bisaccia, a small town located in Campania region, Italy. The study was conducted through the integration of conventional methods (geological-geomorphological field survey, air-photo interpretation) and an Advanced-Differential Interferometry Synthetic Aperture Radar (A-DInSAR) technique. The DSGSD involves a brittle lithotype (conglomerates of the Ariano Irpino Supersynthem) resting over a Structurally Complex Formation (Varycoloured Clays of Calaggio Formation). At Bisaccia, probably as a consequence of post-cyclic recompression phenomena triggered by reiterated seismic actions, the rigid plate made up of conglomeratic sediments resulted to be split in five portions, showing different rates of displacements, whose deformations are in the order of some centimeter/year, thus inducing severe damage to the urban settlement. A-DInSAR techniques confirmed to be a reliable tool in monitoring slow-moving landslides. In this case 96 ENVIronmental SATellite-Advanced Synthetic Aperture Radar (ENVISAT-ASAR) images, in ascending and descending orbits, have been processed using SUBSOFT software, developed by the Remote Sensing Laboratory (RSLab) group from the Universitat Politècnica de Catalunya (UPC). The DInSAR results, coupled with field survey, supported the analysis of the instability mechanism and confirmed the historical record of the movements already available for the town. - Highlights: • DInSAR confirmed to be a reliable tool in monitoring slow-moving landslides. • Integration with traditional monitoring systems is crucial for DInSAR application. • DInSAR data can be used for the natural risk mitigation related to landslides.

  13. A-Differential Synthetic Aperture Radar Interferometry analysis of a Deep Seated Gravitational Slope Deformation occurring at Bisaccia (Italy)

    International Nuclear Information System (INIS)

    Di Martire, Diego; Novellino, Alessandro; Ramondini, Massimo; Calcaterra, Domenico

    2016-01-01

    This paper presents the results of an investigation on a Deep Seated Gravitational Slope Deformation (DSGSD), previously only hypothesized by some authors, affecting Bisaccia, a small town located in Campania region, Italy. The study was conducted through the integration of conventional methods (geological-geomorphological field survey, air-photo interpretation) and an Advanced-Differential Interferometry Synthetic Aperture Radar (A-DInSAR) technique. The DSGSD involves a brittle lithotype (conglomerates of the Ariano Irpino Supersynthem) resting over a Structurally Complex Formation (Varycoloured Clays of Calaggio Formation). At Bisaccia, probably as a consequence of post-cyclic recompression phenomena triggered by reiterated seismic actions, the rigid plate made up of conglomeratic sediments resulted to be split in five portions, showing different rates of displacements, whose deformations are in the order of some centimeter/year, thus inducing severe damage to the urban settlement. A-DInSAR techniques confirmed to be a reliable tool in monitoring slow-moving landslides. In this case 96 ENVIronmental SATellite-Advanced Synthetic Aperture Radar (ENVISAT-ASAR) images, in ascending and descending orbits, have been processed using SUBSOFT software, developed by the Remote Sensing Laboratory (RSLab) group from the Universitat Politècnica de Catalunya (UPC). The DInSAR results, coupled with field survey, supported the analysis of the instability mechanism and confirmed the historical record of the movements already available for the town. - Highlights: • DInSAR confirmed to be a reliable tool in monitoring slow-moving landslides. • Integration with traditional monitoring systems is crucial for DInSAR application. • DInSAR data can be used for the natural risk mitigation related to landslides.

  14. Results from the Coded Aperture Neutron Imaging System (CANIS)

    International Nuclear Information System (INIS)

    Brubaker, Erik; Steele, John T.; Brennan, James S.; Hilton, Nathan R.; Marleau, Peter

    2010-01-01

    Because of their penetrating power, energetic neutrons and gamma rays (∼1 MeV) offer the best possibility of detecting highly shielded or distant special nuclear material (SNM). Of these, fast neutrons offer the greatest advantage due to their very low and well understood natural background. We are investigating a new approach to fast-neutron imaging- a coded aperture neutron imaging system (CANIS). Coded aperture neutron imaging should offer a highly efficient solution for improved detection speed, range, and sensitivity. We have demonstrated fast neutron and gamma ray imaging with several different configurations of coded masks patterns and detectors including an 'active' mask that is composed of neutron detectors. Here we describe our prototype detector and present some initial results from laboratory tests and demonstrations.

  15. Monte Carlo simulation of a coded-aperture thermal neutron camera

    International Nuclear Information System (INIS)

    Dioszegi, I.; Salwen, C.; Forman, L.

    2011-01-01

    We employed the MCNPX Monte Carlo code to simulate image formation in a coded-aperture thermal-neutron camera. The camera, developed at Brookhaven National Laboratory (BNL), consists of a 20 x 17 cm"2 active area "3He-filled position-sensitive wire chamber in a cadmium enclosure box. The front of the box is a coded-aperture cadmium mask (at present with three different resolutions). We tested the detector experimentally with various arrangements of moderated point-neutron sources. The purpose of using the Monte Carlo modeling was to develop an easily modifiable model of the device to predict the detector's behavior using different mask patterns, and also to generate images of extended-area sources or large numbers (up to ten) of them, that is important for nonproliferation and arms-control verification, but difficult to achieve experimentally. In the model, we utilized the advanced geometry capabilities of the MCNPX code to simulate the coded aperture mask. Furthermore, the code simulated the production of thermal neutrons from fission sources surrounded by a thermalizer. With this code we also determined the thermal-neutron shadow cast by the cadmium mask; the calculations encompassed fast- and epithermal-neutrons penetrating into the detector through the mask. Since the process of signal production in "3He-filled position-sensitive wire chambers is well known, we omitted this part from our modeling. Simplified efficiency values were used for the three (thermal, epithermal, and fast) neutron-energy regions. Electronic noise and the room's background were included as a uniform irradiation component. We processed the experimental- and simulated-images using identical LabVIEW virtual instruments. (author)

  16. Proposed satellite position determination systems and techniques for Geostationary Synthetic Aperture Radar

    OpenAIRE

    Martin Fuster, Roger; Fernández Usón, Marc; Casado Blanco, David; Broquetas Ibars, Antoni

    2016-01-01

    This paper proposes two different calibration techniques for Geostationary Synthetic Aperture Radar (GEOSAR) missions requiring a high precision positioning, based on Active Radar Calibrators and Ground Based Interferometry. The research is enclosed in the preparation studies of a future GEOSAR mission providing continuous monitoring at continental scale. Peer Reviewed

  17. Focusing optical waves with a rotationally symmetric sharp-edge aperture

    Science.gov (United States)

    Hu, Yanwen; Fu, Shenhe; Li, Zhen; Yin, Hao; Zhou, Jianying; Chen, Zhenqiang

    2018-04-01

    While there has been various kinds of patterned structures proposed for wave focusing, these patterned structures usually involve complicated lithographic techniques since the element size of the patterned structures should be precisely controlled in microscale or even nanoscale. Here we propose a new and straightforward method for focusing an optical plane wave in free space with a rotationally symmetric sharp-edge aperture. The focusing phenomenon of wave is realized by superposition of a portion of the higher-order symmetric plane waves generated from the sharp edges of the apertures, in contrast to previously focusing techniques which usually depend on a curved phase. We demonstrate both experimentally and theoretically the focusing effect with a series of apertures having different rotational symmetry, and find that the intensity of the hotspots could be controlled by the symmetric strength of the sharp-edge apertures. The presented results would advance the conventional wisdom that light would diffract in all directions and become expanding when it propagates through an aperture. The proposed method is easy to be processed, and might open potential applications in interferometry, image, and superresolution.

  18. Evaluation of coded aperture radiation detectors using a Bayesian approach

    Energy Technology Data Exchange (ETDEWEB)

    Miller, Kyle, E-mail: mille856@andrew.cmu.edu [Auton Lab, The Robotics Institute, Carnegie Mellon University, 5000 Forbes Avenue, Pittsburgh, PA 15213 (United States); Huggins, Peter [Auton Lab, The Robotics Institute, Carnegie Mellon University, 5000 Forbes Avenue, Pittsburgh, PA 15213 (United States); Labov, Simon; Nelson, Karl [Lawrence Livermore National Laboratory, Livermore, CA (United States); Dubrawski, Artur [Auton Lab, The Robotics Institute, Carnegie Mellon University, 5000 Forbes Avenue, Pittsburgh, PA 15213 (United States)

    2016-12-11

    We investigate tradeoffs arising from the use of coded aperture gamma-ray spectrometry to detect and localize sources of harmful radiation in the presence of noisy background. Using an example application scenario of area monitoring and search, we empirically evaluate weakly supervised spectral, spatial, and hybrid spatio-spectral algorithms for scoring individual observations, and two alternative methods of fusing evidence obtained from multiple observations. Results of our experiments confirm the intuition that directional information provided by spectrometers masked with coded aperture enables gains in source localization accuracy, but at the expense of reduced probability of detection. Losses in detection performance can however be to a substantial extent reclaimed by using our new spatial and spatio-spectral scoring methods which rely on realistic assumptions regarding masking and its impact on measured photon distributions.

  19. Shadow mask evaporation through monolayer modified nanostencils

    NARCIS (Netherlands)

    Kolbel, M.; Tjerkstra, R.W.; Brugger, J.P.; van Rijn, C.J.M.; Nijdam, W.; Huskens, Jurriaan; Reinhoudt, David

    2002-01-01

    Gradual clogging of the apertures of nanostencils used as miniature shadow masks in metal evaporations can be reduced by coating the stencil with self-assembled monolayers (SAM). This is quantified by the dimensions (height and volume) of gold features obtained by nanostencil evaporation as measured

  20. High-performance 3D waveguide architecture for astronomical pupil-remapping interferometry.

    Science.gov (United States)

    Norris, Barnaby; Cvetojevic, Nick; Gross, Simon; Jovanovic, Nemanja; Stewart, Paul N; Charles, Ned; Lawrence, Jon S; Withford, Michael J; Tuthill, Peter

    2014-07-28

    The detection and characterization of extra-solar planets is a major theme driving modern astronomy. Direct imaging of exoplanets allows access to a parameter space complementary to other detection methods, and potentially the characterization of exoplanetary atmospheres and surfaces. However achieving the required levels of performance with direct imaging from ground-based telescopes (subject to Earth's turbulent atmosphere) has been extremely challenging. Here we demonstrate a new generation of photonic pupil-remapping devices which build upon the Dragonfly instrument, a high contrast waveguide-based interferometer. This new generation overcomes problems caused by interference from unguided light and low throughput. Closure phase measurement scatter of only ∼ 0.2° has been achieved, with waveguide throughputs of > 70%. This translates to a maximum contrast-ratio sensitivity between star and planet at 1λ/D (1σ detection) of 5.3 × 10(-4) (with a conventional adaptive-optics system) or 1.8 × 10(-4) (with 'extreme-AO'), improving even further when random error is minimized by averaging over multiple exposures. This is an order of magnitude beyond conventional pupil-segmenting interferometry techniques (such as aperture masking), allowing a previously inaccessible part of the star to planet contrast-separation parameter space to be explored.

  1. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  2. Coded aperture optimization using Monte Carlo simulations

    International Nuclear Information System (INIS)

    Martineau, A.; Rocchisani, J.M.; Moretti, J.L.

    2010-01-01

    Coded apertures using Uniformly Redundant Arrays (URA) have been unsuccessfully evaluated for two-dimensional and three-dimensional imaging in Nuclear Medicine. The images reconstructed from coded projections contain artifacts and suffer from poor spatial resolution in the longitudinal direction. We introduce a Maximum-Likelihood Expectation-Maximization (MLEM) algorithm for three-dimensional coded aperture imaging which uses a projection matrix calculated by Monte Carlo simulations. The aim of the algorithm is to reduce artifacts and improve the three-dimensional spatial resolution in the reconstructed images. Firstly, we present the validation of GATE (Geant4 Application for Emission Tomography) for Monte Carlo simulations of a coded mask installed on a clinical gamma camera. The coded mask modelling was validated by comparison between experimental and simulated data in terms of energy spectra, sensitivity and spatial resolution. In the second part of the study, we use the validated model to calculate the projection matrix with Monte Carlo simulations. A three-dimensional thyroid phantom study was performed to compare the performance of the three-dimensional MLEM reconstruction with conventional correlation method. The results indicate that the artifacts are reduced and three-dimensional spatial resolution is improved with the Monte Carlo-based MLEM reconstruction.

  3. Monitoring of Land-Surface Deformation in the Karamay Oilfield, Xinjiang, China, Using SAR Interferometry

    Directory of Open Access Journals (Sweden)

    Yusupujiang Aimaiti

    2017-07-01

    Full Text Available Synthetic Aperture Radar (SAR interferometry is a technique that provides high-resolution measurements of the ground displacement associated with various geophysical processes. To investigate the land-surface deformation in Karamay, a typical oil-producing city in the Xinjiang Uyghur Autonomous Region, China, Advanced Land Observing Satellite (ALOS Phased Array L-band Synthetic Aperture Radar (PALSAR data were acquired for the period from 2007 to 2009, and a two-pass differential SAR interferometry (D-InSAR process was applied. The experimental results showed that two sites in the north-eastern part of the city exhibit a clear indication of land deformation. For a further evaluation of the D-InSAR result, the Persistent Scatterer (PS and Small Baseline Subset (SBAS-InSAR techniques were applied for 21 time series Environmental Satellite (ENVISAT C-band Advanced Synthetic Aperture Radar (ASAR data from 2003 to 2010. The comparison between the D-InSAR and SBAS-InSAR measurements had better agreement than that from the PS-InSAR measurement. The maximum deformation rate attributed to subsurface water injection for the period from 2003 to 2010 was up to approximately 33 mm/year in the line of sight (LOS direction. The interferometric phase change from November 2007 to June 2010 showed a clear deformation pattern, and the rebound center has been expanding in scale and increasing in quantity.

  4. Seamless Synthetic Aperture Radar Archive for Interferometry Analysis

    Science.gov (United States)

    Baker, S.; Baru, C.; Bryson, G.; Buechler, B.; Crosby, C.; Fielding, E.; Meertens, C.; Nicoll, J.; Youn, C.

    2014-11-01

    The NASA Advancing Collaborative Connections for Earth System Science (ACCESS) seamless synthetic aperture radar (SAR) archive (SSARA) project is a collaboration between UNAVCO, the Alaska Satellite Facility (ASF), the Jet Propulsion Laboratory (JPL), and OpenTopography at the San Diego Supercomputer Center (SDSC) to design and implement a seamless distributed access system for SAR data and derived interferometric SAR (InSAR) data products. A unified application programming interface (API) has been created to search the SAR archives at ASF and UNAVCO, 30 and 90-m SRTM DEM data available through OpenTopography, and tropospheric data from the NASA OSCAR project at JPL. The federated query service provides users a single access point to search for SAR granules, InSAR pairs, and corresponding DEM and tropospheric data products from the four archives, as well as the ability to search and download pre-processed InSAR products from ASF and UNAVCO.

  5. Multi-static MIMO along track interferometry (ATI)

    Science.gov (United States)

    Knight, Chad; Deming, Ross; Gunther, Jake

    2016-05-01

    Along-track interferometry (ATI) has the ability to generate high-quality synthetic aperture radar (SAR) images and concurrently detect and estimate the positions of ground moving target indicators (GMTI) with moderate processing requirements. This paper focuses on several different ATI system configurations, with an emphasis on low-cost configurations employing no active electronic scanned array (AESA). The objective system has two transmit phase centers and four receive phase centers and supports agile adaptive radar behavior. The advantages of multistatic, multiple input multiple output (MIMO) ATI system configurations are explored. The two transmit phase centers can employ a ping-pong configuration to provide the multistatic behavior. For example, they can toggle between an up and down linear frequency modulated (LFM) waveform every other pulse. The four receive apertures are considered in simple linear spatial configurations. Simulated examples are examined to understand the trade space and verify the expected results. Finally, actual results are collected with the Space Dynamics Laboratorys (SDL) FlexSAR system in diverse configurations. The theory, as well as the simulated and actual SAR results, are presented and discussed.

  6. Vector wave diffraction pattern of slits masked by polarizing devices

    Indian Academy of Sciences (India)

    This calls for a systematic study of diffraction properties of different apertures using polarization-sensitive devices. In the present paper, we have studied the Fraunhofer diffraction pattern of slits masked by different kinds of polarizing devices which introduce a phase difference between the two orthogonal components of the ...

  7. 3-color photometry of a sunspot using speckle masking techniques

    NARCIS (Netherlands)

    Wiehr, E.; Sütterlin, P.

    1998-01-01

    A three-colour photometry is used to deduce the temperature of sunspot fine-structures. Using the Speckle-Masking method for image restoration, the resulting images (one per colour and burst) have a spatial resolution only limited by the telescope's aperture, i.e. 95km (blue), 145 km (red) and

  8. 3D-printed coded apertures for x-ray backscatter radiography

    Science.gov (United States)

    Muñoz, André A. M.; Vella, Anna; Healy, Matthew J. F.; Lane, David W.; Jupp, Ian; Lockley, David

    2017-09-01

    Many different mask patterns can be used for X-ray backscatter imaging using coded apertures, which can find application in the medical, industrial and security sectors. While some of these patterns may be considered to have a self-supporting structure, this is not the case for some of the most frequently used patterns such as uniformly redundant arrays or any pattern with a high open fraction. This makes mask construction difficult and usually requires a compromise in its design by drilling holes or adopting a no two holes touching version of the original pattern. In this study, this compromise was avoided by 3D printing a support structure that was then filled with a radiopaque material to create the completed mask. The coded masks were manufactured using two different methods, hot cast and cold cast. Hot casting involved casting a bismuth alloy at 80°C into the 3D printed acrylonitrile butadiene styrene mould which produced an absorber with density of 8.6 g cm-3. Cold casting was undertaken at room temperature, when a tungsten/epoxy composite was cast into a 3D printed polylactic acid mould. The cold cast procedure offered a greater density of around 9.6 to 10 g cm-3 and consequently greater X-ray attenuation. It was also found to be much easier to manufacture and more cost effective. A critical review of the manufacturing procedure is presented along with some typical images. In both cases the 3D printing process allowed square apertures to be created avoiding their approximation by circular holes when conventional drilling is used.

  9. Instantaneous phase-shifting Fizeau interferometry with high-speed pixelated phase-mask camera

    Science.gov (United States)

    Yatagai, Toyohiko; Jackin, Boaz Jessie; Ono, Akira; Kiyohara, Kosuke; Noguchi, Masato; Yoshii, Minoru; Kiyohara, Motosuke; Niwa, Hayato; Ikuo, Kazuyuki; Onuma, Takashi

    2015-08-01

    A Fizeou interferometer with instantaneous phase-shifting ability using a Wollaston prism is designed. to measure dynamic phase change of objects, a high-speed video camera of 10-5s of shutter speed is used with a pixelated phase-mask of 1024 × 1024 elements. The light source used is a laser of wavelength 532 nm which is split into orthogonal polarization states by passing through a Wollaston prism. By adjusting the tilt of the reference surface it is possible to make the reference and object beam with orthogonal polarizations states to coincide and interfere. Then the pixelated phase-mask camera calculate the phase changes and hence the optical path length difference. Vibration of speakers and turbulence of air flow were successfully measured in 7,000 frames/sec.

  10. Astronomy at high angular resolution a compendium of techniques in the visible and near-infrared

    CERN Document Server

    Hussain, Gaitee; Berger, Jean-Philippe; Schmidtobreick, Linda

    2016-01-01

    This book offers an essential compendium of astronomical high-resolution techniques. Recent years have seen considerable developments in such techniques, which are critical to advances in many areas of astronomy. As reflected in the book, these techniques can be divided into direct methods, interferometry, and reconstruction methods, and can be applied to a huge variety of astrophysical systems, ranging from planets, single stars and binaries to active galactic nuclei, providing angular resolution in the micro- to tens of milliarcsecond scales. Written by experts in their fields, the chapters cover adaptive optics, aperture masking imaging, spectra disentangling, interferometry, lucky imaging, Roche tomography, imaging with interferometry, interferometry of AGN, AGN reverberation mapping, Doppler- and magnetic imaging of stellar surfaces, Doppler tomography, eclipse mapping, Stokes imaging, and stellar tomography. This book is intended to enable a next generation of astronomers to apply high-resolution techni...

  11. APPLICATION OF VORONOI DIAGRAM TO MASK-BASED INTERCEPTING PHASE-SPACE MEASUREMENTS

    Energy Technology Data Exchange (ETDEWEB)

    Halavanau, A. [Fermilab; Ha, G. [POSTECH

    2017-05-19

    Intercepting multi-aperture masks (e.g. pepper pot or multislit mask) combined with a downstream transversedensity diagnostics (e.g. based on optical transition radiation or employing scintillating media) are commonly used for characterizing the phase space of charged particle beams and the associated emittances. The required data analysis relies on precise calculation of the RMS sizes and positions of the beamlets originated from the mask which drifted up to the analyzing diagnostics. Voronoi diagram is an efficient method for splitting a plane into subsets according to the distances between given vortices. The application of the method to analyze data from pepper pot and multislit mask based measurement is validated via numerical simulation and applied to experimental data acquired at the Argonne Wakefield Accelerator (AWA) facility. We also discuss the application of the Voronoi diagrams to quantify transverselymodulated beams distortion.

  12. Transmission and signal loss in mask designs for a dual neutron and gamma imager applied to mobile standoff detection

    International Nuclear Information System (INIS)

    Ayaz-Maierhafer, Birsen; Hayward, Jason P.; Ziock, Klaus P.; Blackston, Matthew A.; Fabris, Lorenzo

    2013-01-01

    In order to design a next-generation, dual neutron and gamma imager for mobile standoff detection which uses coded aperture imaging as its primary detection modality, the following design parameters have been investigated for gamma and neutron radiation incident upon a hybrid, coded mask: (1) transmission through mask elements for various mask materials and thicknesses; and (2) signal attenuation in the mask versus angle of incidence. Each of these parameters directly affects detection significance, as quantified by the signal-to-noise ratio. The hybrid mask consists of two or three layers: organic material for fast neutron attenuation and scattering, Cd for slow neutron absorption (if applied), and one of three of the following photon or photon and slow neutron attenuating materials—Linotype alloy, CLYC, or CZT. In the MCNP model, a line source of gamma rays (100–2500 keV), fast neutrons (1000–10,000 keV) or thermal neutrons was positioned above the hybrid mask. The radiation penetrating the mask was simply tallied at the surface of an ideal detector, which was located below the surface of the last mask layer. The transmission was calculated as the ratio of the particles transmitted through the fixed aperture to the particles passing through the closed mask. In order to determine the performance of the mask considering relative motion between the source and detector, simulations were used to calculate the signal attenuation for incident radiation angles of 0–50°. The results showed that a hybrid mask can be designed to sufficiently reduce both transmission through the mask and signal loss at large angles of incidence, considering both gamma ray and fast neutron radiations. With properly selected material thicknesses, the signal loss of a hybrid mask, which is necessarily thicker than the mask required for either single mode imaging, is not a setback to the system's detection significance

  13. Multi-part mask for implanting workpieces

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.

    2016-05-10

    A multi-part mask has a pattern plate, which includes a planar portion that has the desired aperture pattern to be used during workpiece processing. The multi-part mask also has a mounting frame, which is used to hold the pattern plate. Prior to assembly, the pattern plate has an aligning portion, which has one or more holes through which reusable alignment pins are inserted. These alignment pins enter kinematic joints disposed on the mounting frame, which serve to precisely align the pattern plate to the mounting frame. After the pattern plate has been secured to the mounting frame, the aligning portion can be detached from the pattern plate. The alignment pins can be reused at a later time. In some embodiments, the pattern plate can later be removed from the mounting frame, so that the mounting frame may be reused.

  14. Complex Pupil Masks for Aberrated Imaging of Closely Spaced Objects

    Science.gov (United States)

    Reddy, A. N. K.; Sagar, D. K.; Khonina, S. N.

    2017-12-01

    Current approach demonstrates the suppression of optical side-lobes and the contraction of the main lobe in the composite image of two object points of the optical system under the influence of defocusing effect when an asymmetric phase edges are imposed over the apodized circular aperture. The resolution of two point sources having different intensity ratio is discussed in terms of the modified Sparrow criterion, functions of the degree of coherence of the illumination, the intensity difference and the degree of asymmetric phase masking. Here we have introduced and explored the effects of focus aberration (defect-of-focus) on the two-point resolution of the optical systems. Results on the aberrated composite image of closely spaced objects with amplitude mask and asymmetric phase masks forms a significant contribution in astronomical and microscopic observations.

  15. Implementation of adaptive coded aperture imaging using a digital micro-mirror device for defocus deblurring

    CSIR Research Space (South Africa)

    Chiranjan, Ashill

    2016-12-01

    Full Text Available designed and constructed from cardboard or other opaque materials and could not be altered once their shape had been defined. This is undesirable as numerous aperture pattern masks exist, each with their own advantages and disadvantages, and alternating...

  16. Dynamic Deformation of ETNA Volcano Observed by GPS and SAR Interferometry

    Science.gov (United States)

    Lundgren, P.; Rosen, P.; Webb, F.; Tesauro, M.; Lanari, R.; Sansosi, E.; Puglisi, G.; Bonforte, A.; Coltelli, M.

    1999-01-01

    Synthetic aperture radar (SAR) interferometry and GPS have shown that during the quiescent period from 1993-1995 Mt. Etna volcano, Italy, inflated. Since the initiation of eruptive activity since late 1995 the deformation has been more contentious. We will explore the detailed deformation during the period from 1995-1996 spanning the late stages of inflation and the beginning of eruptive activity. We use SAR interferometry and GPS data to measure the volcano deformation. We invert the observed deformation for both simple point source. le crack elastic sources or if warranted for a spheroidal pressure So In particular, we will examine the evolution of the inflation and the transition to a lesser deflation observed at the end of 1995. We use ERS-1/2 SAR data from both ascending and descending passes to allow for dense temporal 'sampling of the deformation and to allow us to critically assess atmospheric noise. Preliminary results from interferometry suggest that the inflation rate accelerated prior to resumption of activity in 1995, while GPS data suggest a more steady inflation with some fluctuation following the start of activity. This study will compare and contrast the interferometric SAR and GPS results and will address the strengths and weaknesses of each technique towards volcano deformation studies.

  17. Mapping small elevation changes over large areas - Differential radar interferometry

    Science.gov (United States)

    Gabriel, Andrew K.; Goldstein, Richard M.; Zebker, Howard A.

    1989-01-01

    A technique is described, based on synthetic aperture radar (SAR) interferometry, which uses SAR images for measuring very small (1 cm or less) surface motions with good resolution (10 m) over swaths of up to 50 km. The method was applied to a Seasat data set of an imaging site in Imperial Valley, California, where motion effects were observed that were identified with movements due to the expansion of water-absorbing clays. The technique can be used for accurate measurements of many geophysical phenomena, including swelling and buckling in fault zones, residual displacements from seismic events, and prevolcanic swelling.

  18. Low-redundancy linear arrays in mirrored interferometric aperture synthesis.

    Science.gov (United States)

    Zhu, Dong; Hu, Fei; Wu, Liang; Li, Jun; Lang, Liang

    2016-01-15

    Mirrored interferometric aperture synthesis (MIAS) is a novel interferometry that can improve spatial resolution compared with that of conventional IAS. In one-dimensional (1-D) MIAS, antenna array with low redundancy has the potential to achieve a high spatial resolution. This Letter presents a technique for the direct construction of low-redundancy linear arrays (LRLAs) in MIAS and derives two regular analytical patterns that can yield various LRLAs in short computation time. Moreover, for a better estimation of the observed scene, a bi-measurement method is proposed to handle the rank defect associated with the transmatrix of those LRLAs. The results of imaging simulation demonstrate the effectiveness of the proposed method.

  19. MASKED AREAS IN SHEAR PEAK STATISTICS: A FORWARD MODELING APPROACH

    International Nuclear Information System (INIS)

    Bard, D.; Kratochvil, J. M.; Dawson, W.

    2016-01-01

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impact of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance

  20. Bubble masks for time-encoded imaging of fast neutrons.

    Energy Technology Data Exchange (ETDEWEB)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter; Nowack, Aaron B.; Steele, John T.; Sweany, Melinda; Throckmorton, Daniel J.

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixed blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.

  1. Influence of geometrical and electrical parameters of masking layers on the electrochemical etching of silicon for single trench formation

    International Nuclear Information System (INIS)

    Gautier, G; Ventura, L; Jerisian, R

    2005-01-01

    Deep single trenches can be produced at the edge of apertures of protective films masking the surface of silicon samples. This macropore formation, from polarized HF based solutions, is electrically activated depending on the mask geometrical and physical parameters whatever the silicon type or the electrolyte composition. The mask thickness increase is known to induce deeper trenches. In this paper, we show that we can predict and localize this phenomenon by simulating two dimensional hole current distributions below the mask. We demonstrate also the influence of the material permittivity on trench depth. These 2D simulation results are correlated with experimental results

  2. The JWST/NIRCam Coronagraph: Mask Design and Fabrication

    Science.gov (United States)

    Krista, John E.; Balasubramanian, Kunjithapatha; Beichman, Charles A.; Echternach, Pierre M.; Green, Joseph J.; Liewer, Kurt M.; Muller, Richard E.; Serabyn, Eugene; Shaklan, Stuart B.; Trauger, John T.; hide

    2009-01-01

    The NIRCam instrument on the James Webb Space Telescope will provide coronagraphic imaging from lambda =1-5 microns of high contrast sources such as extrasolar planets and circumstellar disks. A Lyot coronagraph with a variety of circular and wedge-shaped occulting masks and matching Lyot pupil stops will be implemented. The occulters approximate grayscale transmission profiles using halftone binary patterns comprising wavelength-sized metal dots on anti-reflection coated sapphire substrates. The mask patterns are being created in the Micro Devices Laboratory at the Jet Propulsion Laboratory using electron beam lithography. Samples of these occulters have been successfully evaluated in a coronagraphic testbed. In a separate process, the complex apertures that form the Lyot stops will be deposited onto optical wedges. The NIRCam coronagraph flight components are expected to be completed this year.

  3. Real time processor for array speckle interferometry

    International Nuclear Information System (INIS)

    Chin, G.; Florez, J.; Borelli, R.; Fong, W.; Miko, J.; Trujillo, C.

    1989-01-01

    With the construction of several new large aperture telescopes and the development of large format array detectors in the near IR, the ability to obtain diffraction limited seeing via IR array speckle interferometry offers a powerful tool. We are constructing a real-time processor to acquire image frames, perform array flat-fielding, execute a 64 x 64 element 2D complex FFT, and to average the power spectrum all within the 25 msec coherence time for speckles at near IR wavelength. The processor is a compact unit controlled by a PC with real time display and data storage capability. It provides the ability to optimize observations and obtain results on the telescope rather than waiting several weeks before the data can be analyzed and viewed with off-line methods

  4. Apertures

    CERN Document Server

    Hansen, R C

    2014-01-01

    Microwave Scanning Antennas, Volume I: Apertures is a comprehensive account of phased arrays, multiple beam arrays, time domain and synthetic apertures, and adaptive antennas. Advances in continuous apertures and near field theory are discussed. Low noise and monopulse apertures, optical scanners, and large radomes are also covered, along with radio astronomy instruments and associated theory.Comprised of five chapters, this volume begins with an overview of aperture theory as well as aperture distributions and near field theory. The second and third chapters deal with mechanically steered and

  5. Rule of Thumb Proposing the Size of Aperture Expected to be Sufficient to Resolve Double Stars with Given Parameters

    Science.gov (United States)

    Knapp, Wilfried

    2018-01-01

    Visual observation of double stars is an anachronistic passion especially attractive for amateurs looking for sky objects suitable for visual observation even in light polluted areas. Session planning then requires a basic idea which objects might be suitable for a given equipment—this question is a long term issue for visual double star observers and obviously not easy to answer, especially for unequal bright components. Based on a reasonably large database with limited aperture observations (done with variable aperture equipment iris diaphragm or aperture masks) a heuristic approach is used to derive a statistically well founded Rule of Thumb formula.

  6. Digital Moiré based transient interferometry and its application in optical surface measurement

    Science.gov (United States)

    Hao, Qun; Tan, Yifeng; Wang, Shaopu; Hu, Yao

    2017-10-01

    Digital Moiré based transient interferometry (DMTI) is an effective non-contact testing methods for optical surfaces. In DMTI system, only one frame of real interferogram is experimentally captured for the transient measurement of the surface under test (SUT). When combined with partial compensation interferometry (PCI), DMTI is especially appropriate for the measurement of aspheres with large apertures, large asphericity or different surface parameters. Residual wavefront is allowed in PCI, so the same partial compensator can be applied to the detection of multiple SUTs. Excessive residual wavefront aberration results in spectrum aliasing, and the dynamic range of DMTI is limited. In order to solve this problem, a method based on wavelet transform is proposed to extract phase from the fringe pattern with spectrum aliasing. Results of simulation demonstrate the validity of this method. The dynamic range of Digital Moiré technology is effectively expanded, which makes DMTI prospective in surface figure error measurement for intelligent fabrication of aspheric surfaces.

  7. Astronomical optical interferometry, II: Astrophysical results

    Directory of Open Access Journals (Sweden)

    Jankov S.

    2011-01-01

    Full Text Available Optical interferometry is entering a new age with several ground- based long-baseline observatories now making observations of unprecedented spatial resolution. Based on a great leap forward in the quality and quantity of interferometric data, the astrophysical applications are not limited anymore to classical subjects, such as determination of fundamental properties of stars; namely, their effective temperatures, radii, luminosities and masses, but the present rapid development in this field allowed to move to a situation where optical interferometry is a general tool in studies of many astrophysical phenomena. Particularly, the advent of long-baseline interferometers making use of very large pupils has opened the way to faint objects science and first results on extragalactic objects have made it a reality. The first decade of XXI century is also remarkable for aperture synthesis in the visual and near-infrared wavelength regimes, which provided image reconstructions from stellar surfaces to Active Galactic Nuclei. Here I review the numerous astrophysical results obtained up to date, except for binary and multiple stars milliarcsecond astrometry, which should be a subject of an independent detailed review, taking into account its importance and expected results at microarcsecond precision level. To the results obtained with currently available interferometers, I associate the adopted instrumental settings in order to provide a guide for potential users concerning the appropriate instruments which can be used to obtain the desired astrophysical information.

  8. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    International Nuclear Information System (INIS)

    Rezaei, M; Jiang, H; Kaminska, B

    2016-01-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated. (paper)

  9. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    Science.gov (United States)

    Rezaei, M.; Jiang, H.; Kaminska, B.

    2016-02-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated.

  10. Results from a multi aperture Fizeau interferometer ground testbed: demonstrator for a future space-based interferometer

    Science.gov (United States)

    Baccichet, Nicola; Caillat, Amandine; Rakotonimbahy, Eddy; Dohlen, Kjetil; Savini, Giorgio; Marcos, Michel

    2016-08-01

    In the framework of the European FP7-FISICA (Far Infrared Space Interferometer Critical Assessment) program, we developed a miniaturized version of the hyper-telescope to demonstrate multi-aperture interferometry on ground. This setup would be ultimately integrated into a CubeSat platform, therefore providing the first real demonstrator of a multi aperture Fizeau interferometer in space. In this paper, we describe the optical design of the ground testbed and the data processing pipeline implemented to reconstruct the object image from interferometric data. As a scientific application, we measured the Sun diameter by fitting a limb-darkening model to our data. Finally, we present the design of a CubeSat platform carrying this miniature Fizeau interferometer, which could be used to monitor the Sun diameter over a long in-orbit period.

  11. Low-Power Super-resolution Readout with Antimony Bismuth Alloy Film as Mask layer

    International Nuclear Information System (INIS)

    Lai-Xin, Jiang; Yi-Qun, Wu; Yang, Wang; Jing-Song, Wei; Fu-Xi, Gan

    2009-01-01

    Sb–Bi alloy films are proposed as a new kind of super-resolution mask layer with low readout threshold power. Using the Sb–Bi alloy film as a mask layer and SiN as a protective layer in a read-only memory disc, the super-resolution pits with diameters of 380 nm are read out by a dynamic setup, the laser wavelength is 780 nm and the numerical aperture of pickup lens is 0.45. The effects of the Sb–Bi thin film thickness, laser readout power and disc rotating velocity on the readout signal are investigated. The results show that the threshold laser power of super-resolution readout of the Sb–Bi mask layer is about 0.5 mW, and the corresponding carrier-to-noise ratio is about 20 dB at the film thickness of 50 nm. The super-resolution mechanism of the Sb–Bi alloy mask layer is discussed based on its temperature dependence of reflection

  12. SAMSI: An orbiting spatial interferometer for micro-arc second astronomical observations. [Spacecraft Array for Michelson Spatial Interferometry (SAMSI)

    Science.gov (United States)

    Stachnik, R. V.; Gezari, D. Y.

    1985-01-01

    The concept and performance of (SAMSI) Spacecraft Array for Michelson Spatial Interferometry, an orbiting spatial interferometer comprised of three free-flying spacecraft, two collector telescopes and a central mixing station are described. In the one-dimensional interferometry mode orbits exist which provide natural scanning of the baseline. These orbits place extremely small demands on thrusters and fuel consumption. Resolution of 0.00001 arcsecond and magnitude limits of mv = 15 to 20 are achievable in a single orbit. In the imaging mode, SAMSI could synthesize images equivalent to those produced by equal diameter filled apertures in space, making use of the fuel resupply capability of a space station. Simulations indicate that image reconstruction can be performed with milliarcsecond resolution to a visual magnitude 12 in 12 hr of spiral scanning integration time.

  13. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  14. Optical interferometry in astronomy

    International Nuclear Information System (INIS)

    Monnier, John D

    2003-01-01

    Here I review the current state of the field of optical stellar interferometry, concentrating on ground-based work although a brief report of space interferometry missions is included. We pause both to reflect on decades of immense progress in the field as well as to prepare for a new generation of large interferometers just now being commissioned (most notably, the CHARA, Keck and VLT Interferometers). First, this review summarizes the basic principles behind stellar interferometry needed by the lay-physicist and general astronomer to understand the scientific potential as well as technical challenges of interferometry. Next, the basic design principles of practical interferometers are discussed, using the experience of past and existing facilities to illustrate important points. Here there is significant discussion of current trends in the field, including the new facilities under construction and advanced technologies being debuted. This decade has seen the influence of stellar interferometry extend beyond classical regimes of stellar diameters and binary orbits to new areas such as mapping the accretion discs around young stars, novel calibration of the cepheid period-luminosity relation, and imaging of stellar surfaces. The third section is devoted to the major scientific results from interferometry, grouped into natural categories reflecting these current developments. Lastly, I consider the future of interferometry, highlighting the kinds of new science promised by the interferometers coming on-line in the next few years. I also discuss the longer-term future of optical interferometry, including the prospects for space interferometry and the possibilities of large-scale ground-based projects. Critical technological developments are still needed to make these projects attractive and affordable

  15. Enhancing core-diffracted arrivals by supervirtual interferometry

    KAUST Repository

    Bharadwaj, P.

    2013-12-03

    A supervirtual interferometry (SVI) method is presented that can enhance the signal-to-noise ratio (SNR) of core diffracted waveforms by as much as O( √ N), where N is the number of inline receivers that record the core-mantle boundary (CMB) diffractions from more than one event. Here, the events are chosen to be approximately inline with the receivers along the same great circle. Results with synthetic and teleseismic data recorded by USArray stations demonstrate that formerly unusable records with low SNR can be transformed to high SNR records with clearly visible CMB diffractions. Another benefit is that SVI allows for the recording of a virtual earthquake at stations not deployed during the time of the earthquake. This means that portable arrays such as USArray can extend the aperture of one recorded earthquake from the West coast to the East coast, even though the teleseism might have only been recorded during theWest coast deployment. In summary, SVI applied to teleseismic data can significantly enlarge the catalogue of usable records both in SNR and available aperture for analysing CMB diffractions. A potential drawback of this method is that it generally provides the correct kinematics of CMB diffractions, but does not necessarily preserve correct amplitude information. © The Authors 2013. Published by Oxford University Press on behalf of The Royal Astronomical Society.

  16. Hybrid coded aperture and Compton imaging using an active mask

    International Nuclear Information System (INIS)

    Schultz, L.J.; Wallace, M.S.; Galassi, M.C.; Hoover, A.S.; Mocko, M.; Palmer, D.M.; Tornga, S.R.; Kippen, R.M.; Hynes, M.V.; Toolin, M.J.; Harris, B.; McElroy, J.E.; Wakeford, D.; Lanza, R.C.; Horn, B.K.P.; Wehe, D.K.

    2009-01-01

    The trimodal imager (TMI) images gamma-ray sources from a mobile platform using both coded aperture (CA) and Compton imaging (CI) modalities. In this paper we will discuss development and performance of image reconstruction algorithms for the TMI. In order to develop algorithms in parallel with detector hardware we are using a GEANT4 [J. Allison, K. Amako, J. Apostolakis, H. Araujo, P.A. Dubois, M. Asai, G. Barrand, R. Capra, S. Chauvie, R. Chytracek, G. Cirrone, G. Cooperman, G. Cosmo, G. Cuttone, G. Daquino, et al., IEEE Trans. Nucl. Sci. NS-53 (1) (2006) 270] based simulation package to produce realistic data sets for code development. The simulation code incorporates detailed detector modeling, contributions from natural background radiation, and validation of simulation results against measured data. Maximum likelihood algorithms for both imaging methods are discussed, as well as a hybrid imaging algorithm wherein CA and CI information is fused to generate a higher fidelity reconstruction.

  17. Kaon interferometry

    International Nuclear Information System (INIS)

    Roldao, C.G.; Padula, S.S.

    1994-01-01

    Preliminary results of the χ 2 analysis where data on kaon interferometry, obtained from the E859 Collaboration of the AGS/Brookhaven Nat.Lab., are compared with results of a hadronic resonance production model are presented. The main goal is to test the resolution power of the method here discussed when applied to the two-dimensional kaon interferometry

  18. Methodology for heritage conservation in Belgium based on multi-temporal interferometry

    Science.gov (United States)

    Bejarano-Urrego, L.; Verstrynge, E.; Shimoni, M.; Lopez, J.; Walstra, J.; Declercq, P.-Y.; Derauw, D.; Hayen, R.; Van Balen, K.

    2017-09-01

    Soil differential settlements that cause structural damage to heritage buildings are precipitating cultural and economic value losses. Adequate damage assessment as well as protection and preservation of the built patrimony are priorities at national and local levels, so they require advanced integration and analysis of environmental, architectural and historical parameters. The GEPATAR project (GEotechnical and Patrimonial Archives Toolbox for ARchitectural conservation in Belgium) aims to create an online interactive geo-information tool that allows the user to view and to be informed about the Belgian heritage buildings at risk due to differential soil settlements. Multi-temporal interferometry techniques (MTI) have been proven to be a powerful technique for analyzing earth surface deformation patterns through time series of Synthetic Aperture Radar (SAR) images. These techniques allow to measure ground movements over wide areas at high precision and relatively low cost. In this project, Persistent Scatterer Synthetic Aperture Radar Interferometry (PS-InSAR) and Multidimensional Small Baseline Subsets (MSBAS) are used to measure and monitor the temporal evolution of surface deformations across Belgium. This information is integrated with the Belgian heritage data by means of an interactive toolbox in a GIS environment in order to identify the level of risk. At country scale, the toolbox includes ground deformation hazard maps, geological information, location of patrimony buildings and land use; while at local scale, it includes settlement rates, photographic and historical surveys as well as architectural and geotechnical information. Some case studies are investigated by means of on-site monitoring techniques and stability analysis to evaluate the applied approaches. This paper presents a description of the methodology being implemented in the project together with the case study of the Saint Vincent's church which is located on a former colliery zone. For

  19. Measurement of wavefront structure from large aperture optical components by phase shifting interferometry

    International Nuclear Information System (INIS)

    Wolfe, C.R.; Lawson, J.K.; Kellam, M.; Maney, R.T.; Demiris, A.

    1995-01-01

    This paper discusses the results of high spatial resolution measurement of the transmitted or reflected wavefront of optical components using phase shifting interferometry with a wavelength of 6328 angstrom. The optical components studied range in size from approximately 50 mm x 100 mm to 400 mm x 750 mm. Wavefront data, in the form of 3-D phase maps, have been obtained for three regimes of scale length: ''micro roughness'', ''mid-spatial scale'', and ''optical figure/curvature.'' Repetitive wavefront structure has been observed with scale lengths from 10 mm to 100 mm. The amplitude of this structure is typically λ/100 to λ/20. Previously unobserved structure has been detected in optical materials and on the surfaces of components. We are using this data to assist in optimizing laser system design, to qualify optical components and fabrication processes under study in our component development program

  20. Evaluation Of Digital Unsharp-Mask Filtering For The Detection Of Subtle Mammographic Microcalcifications

    Science.gov (United States)

    Chan, Heang-Ping; Vyborny, Carl J.; MacMahon, Heber; Metz, Charles E.; Doi, Kunio; Sickles, Edward A.

    1986-06-01

    We have conducted a study to assess the effects of digitization and unsharp-mask filtering on the ability of observers to detect subtle microcalcifications in mammograms. Thirty-two conventional screen-film mammograms were selected from patient files by two experienced mammographers. Twelve of the mammograms contained a suspicious cluster of microcalcifications in patients who subsequently underwent biopsy. Twenty of the mammograms were normal cases which were initially interpreted as being free of clustered microcalcifications and did not demonstrate such on careful review. The mammograms were digitized with a high-quality Fuji image processing/simulation system. The system consists of two drum scanners with which an original radiograph can be digitized, processed by a minicomputer, and reconstituted on film. In this study, we employed a sampling aperture of 0.1 mm X 0.1 mm and a sampling distance of 0.1 mm. The density range from 0.2 to 2.75 was digitized to 1024 grey levels per pixel. The digitized images were printed on a single emulsion film with a display aperture having the same size as the sampling aperture. The system was carefully calibrated so that the density and contrast of a digitized image were closely matched to those of the original radiograph. Initially, we evaluated the effects of the weighting factor and the mask size of a unsharp-mask filter on the appearance of mammograms for various types of breasts. Subjective visual comparisons suggested that a mask size of 91 X 91 pixels (9.1 mm X 9.1 mm) enhances the visibility of microcalcifications without excessively increasing the high-frequency noise. Further, a density-dependent weighting factor that increases linearly from 1.5 to 3.0 in the density range of 0.2 to 2.5 enhances the contrast of microcalcifications without introducing many potentially confusing artifacts in the low-density areas. An unsharp-mask filter with these parameters was used to process the digitized mammograms. We conducted

  1. Spherical aberration compensation method for long focal-length measurement based on Talbot interferometry

    Science.gov (United States)

    Luo, Yupeng; Huang, Xiao; Bai, Jian; Du, Juan; Liu, Qun; Luo, Yujie; Luo, Jia

    2017-08-01

    Large-aperture and long focal-length lens is widely used in high energy laser system. The method based on Talbot interferometry is a reliable method to measure the focal length of such elements. By employing divergent beam and two gratings of different periods, this method could realize full-aperture measurement, higher accuracy and better repeatability. However, it does not take into account the spherical aberration of the measured lens resulting in the moiré fringes bending, which will introduce measurement error. Furthermore, in long-focal measurement with divergent beam, this error is an important factor affecting the measurement accuracy. In this paper, we propose a new spherical aberration compensation method, which could significantly reduce the measurement error. Characterized by central-symmetric scanning window, the proposed method is based on the relationship between spherical aberration and the lens aperture. Angle data of moiré fringes in each scanning window is retrieved by Fourier analysis and statistically fitted to estimate a globally optimum value for spherical-aberration-free focal length calculation. Simulation and experiment have been carried out. Compared to the previous work, the proposed method is able to reduce the relative measurement error by 50%. The effect of scanning window size and shift step length on the results is also discussed.

  2. Optimization of coronagraph design for segmented aperture telescopes

    Science.gov (United States)

    Jewell, Jeffrey; Ruane, Garreth; Shaklan, Stuart; Mawet, Dimitri; Redding, Dave

    2017-09-01

    The goal of directly imaging Earth-like planets in the habitable zone of other stars has motivated the design of coronagraphs for use with large segmented aperture space telescopes. In order to achieve an optimal trade-off between planet light throughput and diffracted starlight suppression, we consider coronagraphs comprised of a stage of phase control implemented with deformable mirrors (or other optical elements), pupil plane apodization masks (gray scale or complex valued), and focal plane masks (either amplitude only or complex-valued, including phase only such as the vector vortex coronagraph). The optimization of these optical elements, with the goal of achieving 10 or more orders of magnitude in the suppression of on-axis (starlight) diffracted light, represents a challenging non-convex optimization problem with a nonlinear dependence on control degrees of freedom. We develop a new algorithmic approach to the design optimization problem, which we call the "Auxiliary Field Optimization" (AFO) algorithm. The central idea of the algorithm is to embed the original optimization problem, for either phase or amplitude (apodization) in various planes of the coronagraph, into a problem containing additional degrees of freedom, specifically fictitious "auxiliary" electric fields which serve as targets to inform the variation of our phase or amplitude parameters leading to good feasible designs. We present the algorithm, discuss details of its numerical implementation, and prove convergence to local minima of the objective function (here taken to be the intensity of the on-axis source in a "dark hole" region in the science focal plane). Finally, we present results showing application of the algorithm to both unobscured off-axis and obscured on-axis segmented telescope aperture designs. The application of the AFO algorithm to the coronagraph design problem has produced solutions which are capable of directly imaging planets in the habitable zone, provided end

  3. Adaptive coded aperture imaging in the infrared: towards a practical implementation

    Science.gov (United States)

    Slinger, Chris W.; Gilholm, Kevin; Gordon, Neil; McNie, Mark; Payne, Doug; Ridley, Kevin; Strens, Malcolm; Todd, Mike; De Villiers, Geoff; Watson, Philip; Wilson, Rebecca; Dyer, Gavin; Eismann, Mike; Meola, Joe; Rogers, Stanley

    2008-08-01

    An earlier paper [1] discussed the merits of adaptive coded apertures for use as lensless imaging systems in the thermal infrared and visible. It was shown how diffractive (rather than the more conventional geometric) coding could be used, and that 2D intensity measurements from multiple mask patterns could be combined and decoded to yield enhanced imagery. Initial experimental results in the visible band were presented. Unfortunately, radiosity calculations, also presented in that paper, indicated that the signal to noise performance of systems using this approach was likely to be compromised, especially in the infrared. This paper will discuss how such limitations can be overcome, and some of the tradeoffs involved. Experimental results showing tracking and imaging performance of these modified, diffractive, adaptive coded aperture systems in the visible and infrared will be presented. The subpixel imaging and tracking performance is compared to that of conventional imaging systems and shown to be superior. System size, weight and cost calculations indicate that the coded aperture approach, employing novel photonic MOEMS micro-shutter architectures, has significant merits for a given level of performance in the MWIR when compared to more conventional imaging approaches.

  4. Landau-Zener-Stueckelberg interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Shevchenko, S.N., E-mail: sshevchenko@ilt.kharkov.u [B.Verkin Institute for Low Temperature Physics and Engineering, Kharkov (Ukraine); RIKEN Advanced Science Institute, Wako-shi, Saitama (Japan); Ashhab, S.; Nori, Franco [RIKEN Advanced Science Institute, Wako-shi, Saitama (Japan); Department of Physics, The University of Michigan, Ann Arbor, MI (United States)

    2010-07-15

    A transition between energy levels at an avoided crossing is known as a Landau-Zener transition. When a two-level system (TLS) is subject to periodic driving with sufficiently large amplitude, a sequence of transitions occurs. The phase accumulated between transitions (commonly known as the Stueckelberg phase) may result in constructive or destructive interference. Accordingly, the physical observables of the system exhibit periodic dependence on the various system parameters. This phenomenon is often referred to as Landau-Zener-Stueckelberg (LZS) interferometry. Phenomena related to LZS interferometry occur in a variety of physical systems. In particular, recent experiments on LZS interferometry in superconducting TLSs (qubits) have demonstrated the potential for using this kind of interferometry as an effective tool for obtaining the parameters characterizing the TLS as well as its interaction with the control fields and with the environment. Furthermore, strong driving could allow for fast and reliable control of the quantum system. Here we review recent experimental results on LZS interferometry, and we present related theory.

  5. Landau-Zener-Stueckelberg interferometry

    International Nuclear Information System (INIS)

    Shevchenko, S.N.; Ashhab, S.; Nori, Franco

    2010-01-01

    A transition between energy levels at an avoided crossing is known as a Landau-Zener transition. When a two-level system (TLS) is subject to periodic driving with sufficiently large amplitude, a sequence of transitions occurs. The phase accumulated between transitions (commonly known as the Stueckelberg phase) may result in constructive or destructive interference. Accordingly, the physical observables of the system exhibit periodic dependence on the various system parameters. This phenomenon is often referred to as Landau-Zener-Stueckelberg (LZS) interferometry. Phenomena related to LZS interferometry occur in a variety of physical systems. In particular, recent experiments on LZS interferometry in superconducting TLSs (qubits) have demonstrated the potential for using this kind of interferometry as an effective tool for obtaining the parameters characterizing the TLS as well as its interaction with the control fields and with the environment. Furthermore, strong driving could allow for fast and reliable control of the quantum system. Here we review recent experimental results on LZS interferometry, and we present related theory.

  6. Measurement of aspheric surfaces using an improved annular subaperture stitching interferometry (IASSI)

    International Nuclear Information System (INIS)

    Wen, Yongfu; Cheng, Haobo

    2014-01-01

    An improved annular subaperture stitching interferometry (IASSI) is proposed for testing aspheric surfaces in the stage of precision polishing. It includes a reasonable stitching model and an automatic positioning operation. In the testing process, a series of optical path difference (OPD) data of annular subapertures is obtained as the interferometer is gradually shifted relative to the tested aspheric surface. Then these OPD data can be analyzed by the automatic positioning operation to get the key stitching parameters, and can be stitched together based on a reasonable mathematical model. To verify its validity, we study the applicability of the method to subaperture stitching tests of two conic aspheric surfaces. The stitching results agreed with the full-aperture test results. (paper)

  7. Studies of Bagley Icefield during surge and Black Rapids Glacier, Alaska, using spaceborne SAR interferometry

    Science.gov (United States)

    Fatland, Dennis Robert

    1998-12-01

    This thesis presents studies of two temperate valley glaciers---Bering Glacier in the Chugach-St.Elias Mountains, South Central Alaska, and Black Rapids Glacier in the Alaska Range, Interior Alaska---using differential spaceborne radar interferometry. The first study was centered on the 1993--95 surge of Bering Glacier and the resultant ice dynamics on its accumulation area, the Bagley Icefield. The second study site was chosen for purposes of comparison of the interferometry results with conventional field measurements, particularly camera survey data and airborne laser altimetry. A comprehensive suite of software was written to interferometrically process synthetic aperture radar (SAR) data in order to derive estimates of surface elevation and surface velocity on these subject glaciers. In addition to these results, the data revealed unexpected but fairly common concentric rings called 'phase bull's-eyes', image features typically 0.5 to 4 km in diameter located over the central part of various glaciers. These bull's-eyes led to a hypothetical model in which they were interpreted to indicate transitory instances of high subglacial water pressure that locally lift the glacier from its bed by several centimeters. This model is associated with previous findings about the nature of glacier bed hydrology and glacier surging. In addition to the dynamical analysis presented herein, this work is submitted as a contribution to the ongoing development of spaceborne radar interferometry as a glaciological tool.

  8. 2. Interferometry and polarimetry. 2.1. Principle of interferometry and polarimetry

    International Nuclear Information System (INIS)

    Kawahata, Kazuo; Okajima, Shigeki

    2000-01-01

    Laser interferometry and polarimetry are useful diagnostics for measuring electron density and the internal magnetic field distribution in the plasma. In this section, principles of interferometry and polarimetry and their applications to plasma diagnostics on LHD (section 2.2) and JT-60 (section 2.3) are descried. (author)

  9. Space Interferometry Science Working Group

    Science.gov (United States)

    Ridgway, Stephen T.

    1992-12-01

    Decisions taken by the astronomy and astrophysics survey committee and the interferometry panel which lead to the formation of the Space Interferometry Science Working Group (SISWG) are outlined. The SISWG was formed by the NASA astrophysics division to provide scientific and technical input from the community in planning for space interferometry and in support of an Astrometric Interferometry Mission (AIM). The AIM program hopes to measure the positions of astronomical objects with a precision of a few millionths of an arcsecond. The SISWG science and technical teams are described and the outcomes of its first meeting are given.

  10. Novel methods for matter interferometry with nanosized objects

    Science.gov (United States)

    Arndt, Markus

    2005-05-01

    We discuss the current status and prospects for novel experimental methods for coherence^1,2 and decoherence^3 experiments with large molecules. Quantum interferometry with nanosized objects is interesting for the exploration of the quantum-classical transition. The same experimental setup is also promising for metrology applications and molecular nanolithography. Our coherence experiments with macromolecules employ a Talbot-Lau interferometer. We discuss some modifications to this scheme, which are required to extend it to particles with masses in excess of several thousand mass units. In particular, the detection in all previous interference experiments with large clusters and molecules, was based on either laser ionization^1 (e.g. Fullerenes) or electron impact ionization^2 (e.g. Porphyrins etc.). However, most ionization schemes run into efficiency limits when the mass and complexity of the target particle increases. Here we present experimental results for an interference detector which is truly scalable, i.e. one which will even improve with increasing particle size and complexity. ``Mechanically magnified fluorescence imaging'' (MMFI), combines the high spatial resolution, which is intrinsic to Talbot Lau interferometry with the high detection efficiency of fluorophores adsorbed onto a substrate. In the Talbot Lau setup a molecular interference pattern is revealed by scanning the 3^rd grating across the molecular beam^1. The number of transmitted molecules is a function of the relative position between the mask and the molecular density pattern. Both the particle interference pattern and the mechanical mask structure may be far smaller than any optical resolution limit. After mechanical magnification by an arbitrary factor, in our case a factor 5000, the interference pattern can still be inspected in fluorescence microscopy. The fluorescent molecules are collected on a surface which is scanned collinearly and synchronously behind the 3rd grating. The

  11. Cold neutron interferometry and its application. 2. Coherency and cold neutron spin interferometry

    International Nuclear Information System (INIS)

    Achiwa, Norio; Ebisawa, Toru

    1998-03-01

    The second workshop entitled 'Interference studies and cold neutron spin interferometry' was held on 10 and 11 March 1998 at KUR (Kyoto University Research Reactor Institute, Kumatori). Cold neutron spin interferometry is a new field. So it is very important for its development to learn the studies of X-ray and neutron optics which are rapidly developing with long history. In the workshop, the issues related to interference were reviewed such as experimental studies on cold neutron spin interferometry, theoretical and experimental approach on tunneling time, interference experiments by neutrons and its application, interference studies using synchrotron radiation, topics on silicon interferometry and quantum measurement problem and cold neutron interference experiment related to quantum measurement problem. The 8 of the presented papers are indexed individually. (J.P.N.)

  12. Super Unit Cells in Aperture-Based Metamaterials

    Directory of Open Access Journals (Sweden)

    Dragan Tanasković

    2015-01-01

    Full Text Available An important class of electromagnetic metamaterials are aperture-based metasurfaces. Examples include extraordinary optical transmission arrays and double fishnets with negative refractive index. We analyze a generalization of such metamaterials where a simple aperture is now replaced by a compound object formed by superposition of two or more primitive objects (e.g., rectangles, circles, and ellipses. Thus obtained “super unit cell” shows far richer behavior than the subobjects that comprise it. We show that nonlocalities introduced by overlapping simple subobjects can be used to produce large deviations of spectral dispersion even for small additive modifications of the basic geometry. Technologically, some super cells may be fabricated by simple spatial shifting of the existing photolithographic masks. In our investigation we applied analytical calculations and ab initio finite element modeling to prove the possibility to tailor the dispersion including resonances for plasmonic nanocomposites by adjusting the local geometry and exploiting localized interactions at a subwavelength level. Any desired form could be defined using simple primitive objects, making the situation a geometrical analog of the case of series expansion of a function. Thus an additional degree of tunability of metamaterials is obtained. The obtained designer structures can be applied in different fields like waveguiding and sensing.

  13. Principles of Stellar Interferometry

    CERN Document Server

    Glindemann, Andreas

    2011-01-01

    Over the last decade, stellar interferometry has developed from a specialist tool to a mainstream observing technique, attracting scientists whose research benefits from milliarcsecond angular resolution. Stellar interferometry has become part of the astronomer’s toolbox, complementing single-telescope observations by providing unique capabilities that will advance astronomical research. This carefully written book is intended to provide a solid understanding of the principles of stellar interferometry to students starting an astronomical research project in this field or to develop instruments and to astronomers using interferometry but who are not interferometrists per se. Illustrated by excellent drawings and calculated graphs the imaging process in stellar interferometers is explained starting from first principles on light propagation and diffraction wave propagation through turbulence is described in detail using Kolmogorov statistics the impact of turbulence on the imaging process is discussed both f...

  14. The influence of distrubing effects on the performance of a wide field coded mask X-ray camera

    International Nuclear Information System (INIS)

    Sims, M.R.; Turner, M.J.L.; Willingale, R.

    1985-01-01

    The coded aperture telescope, or Dicke camera, is seen as an instrument suitable for many applications in X-ray and gamma ray imaging. In this paper the effects of a partially obscuring window mask support or collimator, a detector with limited spatial resolution, and motion of the camera during image integration are considered using a computer simulation of the performance of such a camera. Cross correlation and the Wiener filter are used to deconvolve the data. It is shown that while these effects cause a degradation in performance this is in no case catastrophic. Deterioration of the image is shown to be greatest where strong sources are present in the field of view and is quite small (proportional 10%) when diffuse background is the major element. A comparison between the cyclic mask camera and the single mask camera is made under various conditions and it is shown the single mask camera has a moderate advantage particularly when imaging a wide field of view. (orig.)

  15. Formation of multiple focal spots using a high NA lens with a complex spiral phase mask

    Science.gov (United States)

    Lalithambigai, K.; Anbarasan, P. M.; Rajesh, K. B.

    2014-07-01

    The formation of a transversally polarized beam by transmitting a tightly focused double-ring-shaped azimuthally polarized beam through a complex spiral phase mask and high numerical aperture lens is presented based on vector diffraction theory. The generation of transversally polarized focal spot segment splitting and multiple focal spots is illustrated numerically. Moreover, we found that a properly designed complex spiral phase mask can move the focal spots along the optical axis in the z direction. Therefore, one can achieve a focal segment of two, three or multiple completely transversely polarized focal spots, which finds applications in optical trapping and in material processing technologies.

  16. Interferometry with polarised neutrons

    International Nuclear Information System (INIS)

    Badurek, G.

    1978-01-01

    This paper aimed to give an outline of what might be expected from an extension of polarized beam techniques in neutron interferometry and how it could be achieved properly and what is the present state of this special field of interferometry

  17. Interferometry

    Science.gov (United States)

    Totzeck, Michael

    The intention of this chapter is to provide a fast and comprehensive overview of the principles of interferometry and the various types of interferometer, including interferogram evaluation and applications. Due to the age and the importance of the subject, you can find a number of monographs [16.1,2,3,4] and book chapters [16.5] in the literature. The number of original papers on optical interferometry is far too large to even attempt complete coverage in this chapter. Whenever possible, review papers are cited. Original papers are cited according to their aptness as starting points into the subject. This, however, reflects my personal judgment. Even if you do not share my opinion, you should find the references therein useful.

  18. Nonpolar III-nitride vertical-cavity surface-emitting laser with a photoelectrochemically etched air-gap aperture

    Energy Technology Data Exchange (ETDEWEB)

    Leonard, J. T., E-mail: jtleona01@gmail.com; Yonkee, B. P.; Cohen, D. A.; Megalini, L.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Lee, S. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2016-01-18

    We demonstrate a III-nitride nonpolar vertical-cavity surface-emitting laser (VCSEL) with a photoelectrochemically (PEC) etched aperture. The PEC lateral undercut etch is used to selectively remove the multi-quantum well (MQW) region outside the aperture area, defined by an opaque metal mask. This PEC aperture (PECA) creates an air-gap in the passive area of the device, allowing one to achieve efficient electrical confinement within the aperture, while simultaneously achieving a large index contrast between core of the device (the MQW within the aperture) and the lateral cladding of the device (the air-gap formed by the PEC etch), leading to strong lateral confinement. Scanning electron microscopy and focused ion-beam analysis is used to investigate the precision of the PEC etch technique in defining the aperture. The fabricated single mode PECA VCSEL shows a threshold current density of ∼22 kA/cm{sup 2} (25 mA), with a peak output power of ∼180 μW, at an emission wavelength of 417 nm. The near-field emission profile shows a clearly defined single linearly polarized (LP) mode profile (LP{sub 12,1}), which is in contrast to the filamentary lasing that is often observed in III-nitride VCSELs. 2D mode profile simulations, carried out using COMSOL, give insight into the different mode profiles that one would expect to be displayed in such a device. The experimentally observed single mode operation is proposed to be predominantly a result of poor current spreading in the device. This non-uniform current spreading results in a higher injected current at the periphery of the aperture, which favors LP modes with high intensities near the edge of the aperture.

  19. Surface based detection schemes for molecular interferometry experiments - implications and possible applications

    Science.gov (United States)

    Juffmann, Thomas; Milic, Adriana; Muellneritsch, Michael; Arndt, Markus

    2011-03-01

    Surface based detection schemes for molecular interferometry experiments might be crucial in the search for the quantum properties of larger and larger objects since they provide single particle sensitivity. Here we report on molecular interferograms of different biomolecules imaged using fluorescence microscopy. Being able to watch the build-up of an interferogram live and in situ reveals the matter-wave behavior of these complex molecules in an unprecedented way. We examine several problems encountered due to van-der-Waals forces between the molecules and the diffraction grating and discuss possible ways to circumvent these. Especially the advent of ultra-thin (1-100 atomic layers) diffraction masks might path the way towards molecular holography. We also discuss other possible applications such as coherent molecular microscopy.

  20. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  1. Mobile, hybrid Compton/coded aperture imaging for detection, identification and localization of gamma-ray sources at stand-off distances

    Science.gov (United States)

    Tornga, Shawn R.

    The Stand-off Radiation Detection System (SORDS) program is an Advanced Technology Demonstration (ATD) project through the Department of Homeland Security's Domestic Nuclear Detection Office (DNDO) with the goal of detection, identification and localization of weak radiological sources in the presence of large dynamic backgrounds. The Raytheon-SORDS Tri-Modal Imager (TMI) is a mobile truck-based, hybrid gamma-ray imaging system able to quickly detect, identify and localize, radiation sources at standoff distances through improved sensitivity while minimizing the false alarm rate. Reconstruction of gamma-ray sources is performed using a combination of two imaging modalities; coded aperture and Compton scatter imaging. The TMI consists of 35 sodium iodide (NaI) crystals 5x5x2 in3 each, arranged in a random coded aperture mask array (CA), followed by 30 position sensitive NaI bars each 24x2.5x3 in3 called the detection array (DA). The CA array acts as both a coded aperture mask and scattering detector for Compton events. The large-area DA array acts as a collection detector for both Compton scattered events and coded aperture events. In this thesis, developed coded aperture, Compton and hybrid imaging algorithms will be described along with their performance. It will be shown that multiple imaging modalities can be fused to improve detection sensitivity over a broader energy range than either alone. Since the TMI is a moving system, peripheral data, such as a Global Positioning System (GPS) and Inertial Navigation System (INS) must also be incorporated. A method of adapting static imaging algorithms to a moving platform has been developed. Also, algorithms were developed in parallel with detector hardware, through the use of extensive simulations performed with the Geometry and Tracking Toolkit v4 (GEANT4). Simulations have been well validated against measured data. Results of image reconstruction algorithms at various speeds and distances will be presented as well as

  2. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  3. Quality Assessment of Surface Current Fields From TerraSAR-X and TanDEM-X Along-Track Interferometry and Doppler Centroid Analysis

    OpenAIRE

    Romeiser, Roland; Runge, Harmut; Suchandr, Steffan; Kahle, Ralph; Rossi, Cristian; Bell, Paul S.

    2014-01-01

    All existing examples of current measurements by spaceborne synthetic aperture radar (SAR) along-track (AT) interferometry (ATI) have suffered from short baselines and corresponding low sensitivities. Theoretically, the best data quality at X-band is expected at effective baselines on the order of 30 m, i.e., 30 times as long as the baselines of the divided-antenna modes of TerraSAR-X. In early 2012, we had a first opportunity to obtain data at near-optimum baselines from the TanDEM-X satelli...

  4. A Coded Aperture Compressive Imaging Array and Its Visual Detection and Tracking Algorithms for Surveillance Systems

    Directory of Open Access Journals (Sweden)

    Hanxiao Wu

    2012-10-01

    Full Text Available In this paper, we propose an application of a compressive imaging system to the problem of wide-area video surveillance systems. A parallel coded aperture compressive imaging system is proposed to reduce the needed high resolution coded mask requirements and facilitate the storage of the projection matrix. Random Gaussian, Toeplitz and binary phase coded masks are utilized to obtain the compressive sensing images. The corresponding motion targets detection and tracking algorithms directly using the compressive sampling images are developed. A mixture of Gaussian distribution is applied in the compressive image space to model the background image and for foreground detection. For each motion target in the compressive sampling domain, a compressive feature dictionary spanned by target templates and noises templates is sparsely represented. An l1 optimization algorithm is used to solve the sparse coefficient of templates. Experimental results demonstrate that low dimensional compressed imaging representation is sufficient to determine spatial motion targets. Compared with the random Gaussian and Toeplitz phase mask, motion detection algorithms using a random binary phase mask can yield better detection results. However using random Gaussian and Toeplitz phase mask can achieve high resolution reconstructed image. Our tracking algorithm can achieve a real time speed that is up to 10 times faster than that of the l1 tracker without any optimization.

  5. Precision measurement with atom interferometry

    International Nuclear Information System (INIS)

    Wang Jin

    2015-01-01

    Development of atom interferometry and its application in precision measurement are reviewed in this paper. The principle, features and the implementation of atom interferometers are introduced, the recent progress of precision measurement with atom interferometry, including determination of gravitational constant and fine structure constant, measurement of gravity, gravity gradient and rotation, test of weak equivalence principle, proposal of gravitational wave detection, and measurement of quadratic Zeeman shift are reviewed in detail. Determination of gravitational redshift, new definition of kilogram, and measurement of weak force with atom interferometry are also briefly introduced. (topical review)

  6. Comparing Laser Interferometry and Atom Interferometry Approaches to Space-Based Gravitational-Wave Measurement

    Science.gov (United States)

    Baker, John; Thorpe, Ira

    2012-01-01

    Thoroughly studied classic space-based gravitational-wave missions concepts such as the Laser Interferometer Space Antenna (LISA) are based on laser-interferometry techniques. Ongoing developments in atom-interferometry techniques have spurred recently proposed alternative mission concepts. These different approaches can be understood on a common footing. We present an comparative analysis of how each type of instrument responds to some of the noise sources which may limiting gravitational-wave mission concepts. Sensitivity to laser frequency instability is essentially the same for either approach. Spacecraft acceleration reference stability sensitivities are different, allowing smaller spacecraft separations in the atom interferometry approach, but acceleration noise requirements are nonetheless similar. Each approach has distinct additional measurement noise issues.

  7. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  8. Track-etched nanopores in spin-coated polycarbonate films applied as sputtering mask

    International Nuclear Information System (INIS)

    Nix, A.-K.; Gehrke, H.-G.; Krauser, J.; Trautmann, C.; Weidinger, A.; Hofsaess, H.

    2009-01-01

    Thin polycarbonate films were spin-coated on silicon substrates and subsequently irradiated with 1-GeV U ions. The ion tracks in the polymer layer were chemically etched yielding nanopores of about 40 nm diameter. In a second process, the nanoporous polymer film acted as mask for structuring the Si substrate underneath. Sputtering with 5-keV Xe ions produced surface craters of depth ∼150 nm and diameter ∼80 nm. This arrangement can be used for the fabrication of track-based nanostructures with self-aligned apertures.

  9. Seismic interferometry of railroad induced ground motions: body and surface wave imaging

    Science.gov (United States)

    Quiros, Diego A.; Brown, Larry D.; Kim, Doyeon

    2016-04-01

    Seismic interferometry applied to 120 hr of railroad traffic recorded by an array of vertical component seismographs along a railway within the Rio Grande rift has recovered surface and body waves characteristic of the geology beneath the railway. Linear and hyperbolic arrivals are retrieved that agree with surface (Rayleigh), direct and reflected P waves observed by nearby conventional seismic surveys. Train-generated Rayleigh waves span a range of frequencies significantly higher than those recovered from typical ambient noise interferometry studies. Direct P-wave arrivals have apparent velocities appropriate for the shallow geology of the survey area. Significant reflected P-wave energy is also present at relatively large offsets. A common midpoint stack produces a reflection image consistent with nearby conventional reflection data. We suggest that for sources at the free surface (e.g. trains) increasing the aperture of the array to record wide angle reflections, in addition to longer recording intervals, might allow the recovery of deeper geological structure from railroad traffic. Frequency-wavenumber analyses of these recordings indicate that the train source is symmetrical (i.e. approaching and receding) and that deeper refracted energy is present although not evident in the time-offset domain. These results confirm that train-generated vibrations represent a practical source of high-resolution subsurface information, with particular relevance to geotechnical and environmental applications.

  10. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  11. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  12. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  13. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  14. Cryptosystem based on two-step phase-shifting interferometry and the RSA public-key encryption algorithm

    Science.gov (United States)

    Meng, X. F.; Peng, X.; Cai, L. Z.; Li, A. M.; Gao, Z.; Wang, Y. R.

    2009-08-01

    A hybrid cryptosystem is proposed, in which one image is encrypted to two interferograms with the aid of double random-phase encoding (DRPE) and two-step phase-shifting interferometry (2-PSI), then three pairs of public-private keys are utilized to encode and decode the session keys (geometrical parameters, the second random-phase mask) and interferograms. In the stage of decryption, the ciphered image can be decrypted by wavefront reconstruction, inverse Fresnel diffraction, and real amplitude normalization. This approach can successfully solve the problem of key management and dispatch, resulting in increased security strength. The feasibility of the proposed cryptosystem and its robustness against some types of attack are verified and analyzed by computer simulations.

  15. Nuclear emulsions for the detection of micrometric-scale fringe patterns: an application to positron interferometry

    Science.gov (United States)

    Aghion, S.; Ariga, A.; Bollani, M.; Ereditato, A.; Ferragut, R.; Giammarchi, M.; Lodari, M.; Pistillo, C.; Sala, S.; Scampoli, P.; Vladymyrov, M.

    2018-05-01

    Nuclear emulsions are capable of very high position resolution in the detection of ionizing particles. This feature can be exploited to directly resolve the micrometric-scale fringe pattern produced by a matter-wave interferometer for low energy positrons (in the 10–20 keV range). We have tested the performance of emulsion films in this specific scenario. Exploiting silicon nitride diffraction gratings as absorption masks, we produced periodic patterns with features comparable to the expected interferometer signal. Test samples with periodicities of 6, 7 and 20 μ m were exposed to the positron beam, and the patterns clearly reconstructed. Our results support the feasibility of matter-wave interferometry experiments with positrons.

  16. Event localization in bulk scintillator crystals using coded apertures

    Energy Technology Data Exchange (ETDEWEB)

    Ziock, K.P. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Department of Physics and Astronomy, University of Tennessee, Knoxville, TN (United States); Braverman, J.B. [Department of Physics and Astronomy, University of Tennessee, Knoxville, TN (United States); Fabris, L.; Harrison, M.J.; Hornback, D.; Newby, J. [Oak Ridge National Laboratory, Oak Ridge, TN (United States)

    2015-06-01

    The localization of radiation interactions in bulk scintillators is generally limited by the size of the light distribution at the readout surface of the crystal/light-pipe system. By finding the centroid of the light spot, which is typically of order centimeters across, practical single-event localization is limited to ~2 mm/cm of crystal thickness. Similar resolution can also be achieved for the depth of interaction by measuring the size of the light spot. Through the use of near-field coded-aperture techniques applied to the scintillation light, light transport simulations show that for 3-cm-thick crystals, more than a five-fold improvement (millimeter spatial resolution) can be achieved both laterally and in event depth. At the core of the technique is the requirement to resolve the shadow from an optical mask placed in the scintillation light path between the crystal and the readout. In this paper, experimental results are presented that demonstrate the overall concept using a 1D shadow mask, a thin-scintillator crystal and a light pipe of varying thickness to emulate a 2.2-cm-thick crystal. Spatial resolutions of ~1 mm in both depth and transverse to the readout face are obtained over most of the crystal depth.

  17. Event localization in bulk scintillator crystals using coded apertures

    International Nuclear Information System (INIS)

    Ziock, K.P.; Braverman, J.B.; Fabris, L.; Harrison, M.J.; Hornback, D.; Newby, J.

    2015-01-01

    The localization of radiation interactions in bulk scintillators is generally limited by the size of the light distribution at the readout surface of the crystal/light-pipe system. By finding the centroid of the light spot, which is typically of order centimeters across, practical single-event localization is limited to ~2 mm/cm of crystal thickness. Similar resolution can also be achieved for the depth of interaction by measuring the size of the light spot. Through the use of near-field coded-aperture techniques applied to the scintillation light, light transport simulations show that for 3-cm-thick crystals, more than a five-fold improvement (millimeter spatial resolution) can be achieved both laterally and in event depth. At the core of the technique is the requirement to resolve the shadow from an optical mask placed in the scintillation light path between the crystal and the readout. In this paper, experimental results are presented that demonstrate the overall concept using a 1D shadow mask, a thin-scintillator crystal and a light pipe of varying thickness to emulate a 2.2-cm-thick crystal. Spatial resolutions of ~1 mm in both depth and transverse to the readout face are obtained over most of the crystal depth

  18. Atmospheric Phase Delay in Sentinel SAR Interferometry

    Science.gov (United States)

    Krishnakumar, V.; Monserrat, O.; Crosetto, M.; Crippa, B.

    2018-04-01

    The repeat-pass Synthetic Aperture Radio Detection and Ranging (RADAR) Interferometry (InSAR) has been a widely used geodetic technique for observing the Earth's surface, especially for mapping the Earth's topography and deformations. However, InSAR measurements are prone to atmospheric errors. RADAR waves traverse the Earth's atmosphere twice and experience a delay due to atmospheric refraction. The two major layers of the atmosphere (troposphere and ionosphere) are mainly responsible for this delay in the propagating RADAR wave. Previous studies have shown that water vapour and clouds present in the troposphere and the Total Electron Content (TEC) of the ionosphere are responsible for the additional path delay in the RADAR wave. The tropospheric refractivity is mainly dependent on pressure, temperature and partial pressure of water vapour. The tropospheric refractivity leads to an increase in the observed range. These induced propagation delays affect the quality of phase measurement and introduce errors in the topography and deformation fields. The effect of this delay was studied on a differential interferogram (DInSAR). To calculate the amount of tropospheric delay occurred, the meteorological data collected from the Spanish Agencia Estatal de Meteorología (AEMET) and MODIS were used. The interferograms generated from Sentinel-1 carrying C-band Synthetic Aperture RADAR Single Look Complex (SLC) images acquired on the study area are used. The study area consists of different types of scatterers exhibiting different coherence. The existing Saastamoinen model was used to perform a quantitative evaluation of the phase changes caused by pressure, temperature and humidity of the troposphere during the study. Unless the phase values due to atmospheric disturbances are not corrected, it is difficult to obtain accurate measurements. Thus, the atmospheric error correction is essential for all practical applications of DInSAR to avoid inaccurate height and deformation

  19. ATMOSPHERIC PHASE DELAY IN SENTINEL SAR INTERFEROMETRY

    Directory of Open Access Journals (Sweden)

    V. Krishnakumar

    2018-04-01

    Full Text Available The repeat-pass Synthetic Aperture Radio Detection and Ranging (RADAR Interferometry (InSAR has been a widely used geodetic technique for observing the Earth’s surface, especially for mapping the Earth’s topography and deformations. However, InSAR measurements are prone to atmospheric errors. RADAR waves traverse the Earth’s atmosphere twice and experience a delay due to atmospheric refraction. The two major layers of the atmosphere (troposphere and ionosphere are mainly responsible for this delay in the propagating RADAR wave. Previous studies have shown that water vapour and clouds present in the troposphere and the Total Electron Content (TEC of the ionosphere are responsible for the additional path delay in the RADAR wave. The tropospheric refractivity is mainly dependent on pressure, temperature and partial pressure of water vapour. The tropospheric refractivity leads to an increase in the observed range. These induced propagation delays affect the quality of phase measurement and introduce errors in the topography and deformation fields. The effect of this delay was studied on a differential interferogram (DInSAR. To calculate the amount of tropospheric delay occurred, the meteorological data collected from the Spanish Agencia Estatal de Meteorología (AEMET and MODIS were used. The interferograms generated from Sentinel-1 carrying C-band Synthetic Aperture RADAR Single Look Complex (SLC images acquired on the study area are used. The study area consists of different types of scatterers exhibiting different coherence. The existing Saastamoinen model was used to perform a quantitative evaluation of the phase changes caused by pressure, temperature and humidity of the troposphere during the study. Unless the phase values due to atmospheric disturbances are not corrected, it is difficult to obtain accurate measurements. Thus, the atmospheric error correction is essential for all practical applications of DInSAR to avoid inaccurate

  20. Theory of supervirtual refraction interferometry

    KAUST Repository

    Bharadwaj, Pawan; Schuster, Gerard T.; Mallinson, Ian; Dai, Wei

    2012-01-01

    Inverting for the subsurface velocity distribution by refraction traveltime tomography is a well-accepted imaging method by both the exploration and earthquake seismology communities. A significant drawback, however, is that the recorded traces become noisier with increasing offset from the source position, and so accurate picking of traveltimes in far-offset traces is often prevented. To enhance the signal-to-noise ratio (SNR) of the far-offset traces, we present the theory of supervirtual refraction interferometry where the SNR of far-offset head-wave arrivals can be theoretically increased by a factor proportional to; here, N is the number of receiver or source positions associated with the recording and generation of the head-wave arrival. There are two steps to this methodology: correlation and summation of the data to generate traces with virtual head-wave arrivals, followed by the convolution of the data with the virtual traces to create traces with supervirtual head-wave arrivals. This method is valid for any medium that generates head-wave arrivals recorded by the geophones. Results with both synthetic traces and field data demonstrate the feasibility of this method. There are at least four significant benefits of supervirtual interferometry: (1) an enhanced SNR of far-offset traces so the first-arrival traveltimes of the noisy far-offset traces can be more reliably picked to extend the useful aperture of the data, (2) the SNR of head waves in a trace that arrive later than the first arrival can be enhanced for accurate traveltime picking and subsequent inversion by later-arrival traveltime tomography, (3) common receiver-pair gathers can be analysed to detect the presence of diving waves in the first arrivals, which can be used to assess the nature of the refracting boundary, and (4) the source statics term is eliminated in the correlation operations so that the timing of the virtual traces is independent of the source excitation time. This suggests the

  1. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  2. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  3. Airship Sparse Array Antenna Radar Real Aperture Imaging Based on Compressed Sensing and Sparsity in Transform Domain

    Directory of Open Access Journals (Sweden)

    Li Liechen

    2016-02-01

    Full Text Available A conformal sparse array based on combined Barker code is designed for airship platform. The performance of the designed array such as signal-to-noise ratio is analyzed. Using the hovering characteristics of the airship, interferometry operation can be applied on the real aperture imaging results of two pulses, which can eliminate the random backscatter phase and make the image sparse in the transform domain. Building the relationship between echo and transform coefficients, the Compressed Sensing (CS theory can be introduced to solve the formula and achieving imaging. The image quality of the proposed method can reach the image formed by the full array imaging. The simulation results show the effectiveness of the proposed method.

  4. Antihydrogen Experiment Gravity Interferometry Spectroscopy

    CERN Multimedia

    Trezzi, D; Dassa, L; Rienacker, B; Khalidova, O; Ferrari, G; Krasnicky, D; Perini, D; Cerchiari, G; Belov, A; Boscolo, I; Sacerdoti, M G; Ferragut, R O; Nedelec, P; Hinterberger, A; Al-qaradawi, I; Malbrunot, C L S; Brusa, R S; Prelz, F; Manuzio, G; Riccardi, C; Fontana, A; Genova, P; Haider, S; Haug, F; Turbabin, A; Castelli, F; Testera, G; Lagomarsino, V E; Doser, M; Penasa, L; Gninenko, S; Cataneo, F; Zenoni, A; Cabaret, L; Comparat, D P; Zmeskal, J; Scampoli, P; Nesteruk, K P; Dudarev, A; Kellerbauer, A G; Mariazzi, S; Carraro, C; Zavatarelli, S M

    The AEGIS experiment (Antihydrogen Experiment: Gravity, Interferometry, Spectroscopy) has the aim of carrying out the first measurement of the gravitational interaction of antimatter to a precision of 1%, by applying techniques from atomic physics, laser spectroscopy and interferometry to a beam of antihydrogen atoms. A further goal of the experiment is to carry out spectroscopy of the antihydrogen atoms in flight.

  5. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  6. Comparisons of coded aperture imaging using various apertures and decoding methods

    International Nuclear Information System (INIS)

    Chang, L.T.; Macdonald, B.; Perez-Mendez, V.

    1976-07-01

    The utility of coded aperture γ camera imaging of radioisotope distributions in Nuclear Medicine is in its ability to give depth information about a three dimensional source. We have calculated imaging with Fresnel zone plate and multiple pinhole apertures to produce coded shadows and reconstruction of these shadows using correlation, Fresnel diffraction, and Fourier transform deconvolution. Comparisons of the coded apertures and decoding methods are made by evaluating their point response functions both for in-focus and out-of-focus image planes. Background averages and standard deviations were calculated. In some cases, background subtraction was made using combinations of two complementary apertures. Results using deconvolution reconstruction for finite numbers of events are also given

  7. Atomic interferometry

    International Nuclear Information System (INIS)

    Baudon, J.; Robert, J.

    2004-01-01

    Since the theoretical works of L. De Broglie (1924) and the famous experiment of Davisson and Germer (1927), we know that a wave is linked with any particle of mass m by the relation λ = h/(mv), where λ is the wavelength, v the particle velocity and h is the Planck constant. The basic principle of the interferometry of any material particle, atom, molecule or aggregate is simple: using a simple incident wave, several mutually consistent waves (with well-defined relative phases) are generated and controllable phase-shifts are introduced between them in order to generate a wave which is the sum of the previous waves. An interference figure is obtained which consists in a succession of dark and bright fringes. The atomic interferometry is based on the same principle but involves different techniques, different wave equations, but also different beams, sources and correlations which are described in this book. Because of the small possible wavelengths and the wide range of possible atomic interactions, atomic interferometers can be used in many domains from the sub-micron lithography to the construction of sensors like: inertial sensors, gravity-meters, accelerometers, gyro-meters etc. The first chapter is a preliminary study of the space and time diffraction of atoms. The next chapters is devoted to the description of slit, light separation and polarization interferometers, and the last chapter treats of the properties of Bose-Einstein condensates which are interesting in atomic interferometry. (J.S.)

  8. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  9. R-band host galaxy contamination of TeV γ-ray blazar Mrk 501: effects of aperture size and seeing

    Science.gov (United States)

    Feng, Hai-Cheng; Liu, Hong-Tao; Zhao, Ying-He; Bai, Jin-Ming; Wang, Fang; Fan, Xu-Liang

    2018-02-01

    We simulated the R-band contribution of the host galaxy of TeV γ-ray BL Lac object Mrk 501 in different aperture sizes and seeing conditions. An intensive set of observations was acquired with the 1.02 m optical telescope, managed by Yunnan Observatories, from 2010 May 15 to 18. Based on the host subtraction data usually used in the literature, the subtraction of host galaxy contamination results in significant seeing-brightness correlations. These correlations would lead to illusive large amplitude variations at short timescales, which will mask the intrinsic microvariability, thus giving rise to difficulty in detecting the intrinsic microvariability. Both aperture size and seeing condition influence the flux measurements, but the aperture size impacts the result more significantly. Based on the parameters of an elliptical galaxy provided in the literature, we simulated the host contributions of Mrk 501 in different aperture sizes and seeing conditions. Our simulation data of the host galaxy obviously weaken these significant seeing-brightness correlations for the host-subtracted brightness of Mrk 501, and can help us discover the intrinsic short timescale microvariability. The pure nuclear flux is ∼8.0mJy in the R band, i.e., the AGN has a magnitude of R ∼ 13.96 mag.

  10. Design and performance of coded aperture optical elements for the CESR-TA x-ray beam size monitor

    Energy Technology Data Exchange (ETDEWEB)

    Alexander, J.P.; Chatterjee, A.; Conolly, C.; Edwards, E.; Ehrlichman, M.P. [Cornell University, Ithaca, NY 14853 (United States); Flanagan, J.W. [High Energy Accelerator Research Organization (KEK), Tsukuba (Japan); Department of Accelerator Science, Graduate University for Advanced Studies (SOKENDAI), Tsukuba (Japan); Fontes, E. [Cornell University, Ithaca, NY 14853 (United States); Heltsley, B.K., E-mail: bkh2@cornell.edu [Cornell University, Ithaca, NY 14853 (United States); Lyndaker, A.; Peterson, D.P.; Rider, N.T.; Rubin, D.L.; Seeley, R.; Shanks, J. [Cornell University, Ithaca, NY 14853 (United States)

    2014-12-11

    We describe the design and performance of optical elements for an x-ray beam size monitor (xBSM), a device measuring e{sup +} and e{sup −} beam sizes in the CESR-TA storage ring. The device can measure vertical beam sizes of 10–100μm on a turn-by-turn, bunch-by-bunch basis at e{sup ±} beam energies of ∼2–5GeV. x-rays produced by a hard-bend magnet pass through a single- or multiple-slit (coded aperture) optical element onto a detector. The coded aperture slit pattern and thickness of masking material forming that pattern can both be tuned for optimal resolving power. We describe several such optical elements and show how well predictions of simple models track measured performances. - Highlights: • We characterize optical element performance of an e{sup ±} x-ray beam size monitor. • We standardize beam size resolving power measurements to reference conditions. • Standardized resolving power measurements compare favorably to model predictions. • Key model features include simulation of photon-counting statistics and image fitting. • Results validate a coded aperture design optimized for the x-ray spectrum encountered.

  11. Multi Temporal Interferometry as Tool for Urban Landslide Hazard Assessment

    Science.gov (United States)

    Vicari, A.; Colangelo, G.; Famiglietti, N.; Cecere, G.; Stramondo, S.; Viggiano, D.

    2017-12-01

    Advanced Synthetic Aperture Radar Differential Interferometry (A-DInSAR) are Multi Temporal Interferometry(MTI) techniques suitable for the monitoring of deformation phenomena in slow kinematics. A-DInSAR methodologies include both Coherence-based type, as well as Small Baseline Subset (SBAS) (Berardino et al., 2002, Lanari et al., 2004) and Persistent/Permanent Scatterers (PS), (Ferretti et al., 2001). Such techniques are capable to provide wide-area coverage (thousands of km2) and precise (mm-cm resolution), spatially dense information (from hundreds to thousands of measurementpoints/km2) on groundsurfacedeformations. SBAS and PShavebeenapplied to the town of Stigliano (MT) in Basilicata Region (Southern Italy), where the social center has been destroyed after the reactivation of a known landslide. The comparison of results has shown that these techniques are equivalent in terms of obtained coherent areas and displacement patterns, although lightly different velocity values for individual points (-5/-25 mm/y for PS vs. -5/-15 mm/y for SBAS) have been pointed out. Differences are probably due to scattering properties of the ground surface (e.g. Lauknes et al., 2010). Furthermore, on the crown of the landslide body, a Robotics Explorer Total Monitoring Station (Leica Nova TM50) that measures distance values with 0.6 mm of resolution has been installed. In particular, 20 different points corresponding to that identified through satellite techniques have been chosen, and a sampling time of 15 minutes has been fixed. The displacement values obtained are in agreement with the results of the MTI analysis, showing as these techniques could be a useful tool in the case of early - warning situations.

  12. Aperture Photometry Tool

    Science.gov (United States)

    Laher, Russ R.; Gorjian, Varoujan; Rebull, Luisa M.; Masci, Frank J.; Fowler, John W.; Helou, George; Kulkarni, Shrinivas R.; Law, Nicholas M.

    2012-07-01

    Aperture Photometry Tool (APT) is software for astronomers and students interested in manually exploring the photometric qualities of astronomical images. It is a graphical user interface (GUI) designed to allow the image data associated with aperture photometry calculations for point and extended sources to be visualized and, therefore, more effectively analyzed. The finely tuned layout of the GUI, along with judicious use of color-coding and alerting, is intended to give maximal user utility and convenience. Simply mouse-clicking on a source in the displayed image will instantly draw a circular or elliptical aperture and sky annulus around the source and will compute the source intensity and its uncertainty, along with several commonly used measures of the local sky background and its variability. The results are displayed and can be optionally saved to an aperture-photometry-table file and plotted on graphs in various ways using functions available in the software. APT is geared toward processing sources in a small number of images and is not suitable for bulk processing a large number of images, unlike other aperture photometry packages (e.g., SExtractor). However, APT does have a convenient source-list tool that enables calculations for a large number of detections in a given image. The source-list tool can be run either in automatic mode to generate an aperture photometry table quickly or in manual mode to permit inspection and adjustment of the calculation for each individual detection. APT displays a variety of useful graphs with just the push of a button, including image histogram, x and y aperture slices, source scatter plot, sky scatter plot, sky histogram, radial profile, curve of growth, and aperture-photometry-table scatter plots and histograms. APT has many functions for customizing the calculations, including outlier rejection, pixel “picking” and “zapping,” and a selection of source and sky models. The radial-profile-interpolation source

  13. Mapping Arctic Bottomfast Sea Ice Using SAR Interferometry

    Directory of Open Access Journals (Sweden)

    Dyre O. Dammann

    2018-05-01

    Full Text Available Bottomfast sea ice is an integral part of many near-coastal Arctic ecosystems with implications for subsea permafrost, coastal stability and morphology. Bottomfast sea ice is also of great relevance to over-ice travel by coastal communities, industrial ice roads, and marine habitats. There are currently large uncertainties around where and how much bottomfast ice is present in the Arctic due to the lack of effective approaches for detecting bottomfast sea ice on large spatial scales. Here, we suggest a robust method capable of detecting bottomfast sea ice using spaceborne synthetic aperture radar interferometry. This approach is used to discriminate between slowly deforming floating ice and completely stationary bottomfast ice based on the interferometric phase. We validate the approach over freshwater ice in the Mackenzie Delta, Canada, and over sea ice in the Colville Delta and Elson Lagoon, Alaska. For these areas, bottomfast ice, as interpreted from the interferometric phase, shows high correlation with local bathymetry and in-situ ice auger and ground penetrating radar measurements. The technique is further used to track the seasonal evolution of bottomfast ice in the Kasegaluk Lagoon, Alaska, by identifying freeze-up progression and areas of liquid water throughout winter.

  14. Parameters for HL-LHC aperture calculations and comparison with aperture measurements

    CERN Document Server

    Bruce, R; Fartoukh, S; Giovannozzi, M; Redaelli, S; Tomas, R; Wenninger, J

    2014-01-01

    When β∗ is squeezed to smaller values in the LHC, the beam size in the inner triplet increases so that the aperture risks to be exposed to unwanted beam losses. A 2D calculation model was used during the design stage to study the aperture margins, both there and at other potential bottlenecks. Based on assumptions on orbit and optics errors, as well as mechanical tolerances, it gives the available aperture in units of the RMS beam size, which can be compared with what can be protected by the collimation system. During the LHC Run I in 2010-2013, several of the error tolerances have been found smaller than the design assumptions. Furthermore, the aperture has been measured with beam several times and the results are compatible with a very well aligned machine, with results close to the design values. In this report, we therefore review the assumptions in the model and propose an updated set of input parameters to be used for aperture calculations at top energy in HL-LHC. The new parameter set is based on th...

  15. Coded aperture imaging of alpha source spatial distribution

    International Nuclear Information System (INIS)

    Talebitaher, Alireza; Shutler, Paul M.E.; Springham, Stuart V.; Rawat, Rajdeep S.; Lee, Paul

    2012-01-01

    The Coded Aperture Imaging (CAI) technique has been applied with CR-39 nuclear track detectors to image alpha particle source spatial distributions. The experimental setup comprised: a 226 Ra source of alpha particles, a laser-machined CAI mask, and CR-39 detectors, arranged inside a vacuum enclosure. Three different alpha particle source shapes were synthesized by using a linear translator to move the 226 Ra source within the vacuum enclosure. The coded mask pattern used is based on a Singer Cyclic Difference Set, with 400 pixels and 57 open square holes (representing ρ = 1/7 = 14.3% open fraction). After etching of the CR-39 detectors, the area, circularity, mean optical density and positions of all candidate tracks were measured by an automated scanning system. Appropriate criteria were used to select alpha particle tracks, and a decoding algorithm applied to the (x, y) data produced the de-coded image of the source. Signal to Noise Ratio (SNR) values obtained for alpha particle CAI images were found to be substantially better than those for corresponding pinhole images, although the CAI-SNR values were below the predictions of theoretical formulae. Monte Carlo simulations of CAI and pinhole imaging were performed in order to validate the theoretical SNR formulae and also our CAI decoding algorithm. There was found to be good agreement between the theoretical formulae and SNR values obtained from simulations. Possible reasons for the lower SNR obtained for the experimental CAI study are discussed.

  16. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  17. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  18. Lensless digital holography with diffuse illumination through a pseudo-random phase mask.

    Science.gov (United States)

    Bernet, Stefan; Harm, Walter; Jesacher, Alexander; Ritsch-Marte, Monika

    2011-12-05

    Microscopic imaging with a setup consisting of a pseudo-random phase mask, and an open CMOS camera, without an imaging objective, is demonstrated. The pseudo random phase mask acts as a diffuser for an incoming laser beam, scattering a speckle pattern to a CMOS chip, which is recorded once as a reference. A sample which is afterwards inserted somewhere in the optical beam path changes the speckle pattern. A single (non-iterative) image processing step, comparing the modified speckle pattern with the previously recorded one, generates a sharp image of the sample. After a first calibration the method works in real-time and allows quantitative imaging of complex (amplitude and phase) samples in an extended three-dimensional volume. Since no lenses are used, the method is free from lens abberations. Compared to standard inline holography the diffuse sample illumination improves the axial sectioning capability by increasing the effective numerical aperture in the illumination path, and it suppresses the undesired so-called twin images. For demonstration, a high resolution spatial light modulator (SLM) is programmed to act as the pseudo-random phase mask. We show experimental results, imaging microscopic biological samples, e.g. insects, within an extended volume at a distance of 15 cm with a transverse and longitudinal resolution of about 60 μm and 400 μm, respectively.

  19. Detailed IR aperture measurements

    CERN Document Server

    Bruce, Roderik; Garcia Morales, Hector; Giovannozzi, Massimo; Hermes, Pascal Dominik; Mirarchi, Daniele; Quaranta, Elena; Redaelli, Stefano; Rossi, Carlo; Skowronski, Piotr Krzysztof; Wretborn, Sven Joel; CERN. Geneva. ATS Department

    2016-01-01

    MD 1673 was carried out on October 5 2016, in order to investigate in more detail the available aperture in the LHC high-luminosity insertions at 6.5 TeV and β∗=40 cm. Previous aperture measurements in 2016 during commissioning had shown that the available aperture is at the edge of protection, and that the aperture bottleneck at β∗=40 cm in certain cases is found in the separation plane instead of in the crossing plane. Furthermore, the bottlenecks were consistently found in close to the upstream end of Q3 on the side of the incoming beam, and not in Q2 on the outgoing beam as expected from calculations. Therefore, this MD aimed at measuring IR1 and IR5 separately (at 6.5 TeV and β∗=40 cm, for 185 µrad half crossing angle), to further localize the bottlenecks longitudinally using newly installed BLMs, investigate the difference in aperture between Q2 and Q3, and to see if any aperture can be gained using special orbit bumps.

  20. Improved achromatization of phase mask coronagraphs using colored apodization

    Science.gov (United States)

    N'diaye, M.; Dohlen, K.; Cuevas, S.; Soummer, R.; Sánchez-Pérez, C.; Zamkotsian, F.

    2012-02-01

    Context. For direct imaging of exoplanets, a stellar coronagraph helps to remove the image of an observed bright star by attenuating the diffraction effects caused by the telescope aperture of diameter D. The dual zone phase mask (DZPM) coronagraph constitutes a promising concept since it theoretically offers a small inner working angle (IWA ~ λ0/D where λ0 denotes the central wavelength of the spectral range Δλ), good achromaticity, and high starlight rejection, typically reaching a 106 contrast at 5 λ0/D from the star over a spectral bandwidth Δλ/λ0 of 25% (similar to H-band). This last value proves to be encouraging for broadband imaging of young and warm Jupiter-like planets. Aims: Contrast levels higher than 106 are, however, required for observing older and/or less massive companions over a finite spectral bandwidth. An achromatization improvement of the DZPM coronagraph is therefore mandatory to reach such good performance. Methods: In its design, the DZPM coronagraph uses a gray (or achromatic) apodization. We replaced it by a colored apodization to increase the performance of this coronagraphic system over a wide spectral range. This innovative concept, called colored apodizer phase mask (CAPM) coronagraph, is defined to reach the highest contrast in the exoplanet search area. Once this has been done, we study the performance of the CAPM coronagraph in the presence of different errors to evaluate the sensitivity of our concept. Results: A 2.5 contrast gain is estimated from the performance provided by the CAPM coronagraph with respect to that of the DZPM coronagraph. A 2.2 × 10-8 intensity level at 5 λ0/D separation is then theoretically achieved with the CAPM coronagraph in the presence of a clear circular aperture and a 25% bandwidth. In addition, our studies show that our concept is less sensitive to low than to high-order aberrations for a given value of rms wavefront errors.

  1. Land subsidence caused by the East Mesa geothermal field, California, observed using SAR interferometry

    Science.gov (United States)

    Massonnet, D.; Holzer, T.; Vadon, H.

    1997-01-01

    Interferometric combination of pairs of synthetic aperture radar (SAR) images acquired by the ERS-1 satellite maps the deformation field associated with the activity of the East Mesa geothermal plant, located in southern California. SAR interferometry is applied to this flat area without the need of a digital terrain model. Several combinations are used to ascertain the nature of the phenomenon. Short term interferograms reveal surface phase changes on agricultural fields similar to what had been observed previously with SEASAT radar data. Long term (2 years) interferograms allow the study of land subsidence and improve prior knowledge of the displacement field, and agree with existing, sparse levelling data. This example illustrates the power of the interferometric technique for deriving accurate industrial intelligence as well as its potential for legal action, in cases involving environmental damages. Copyright 1997 by the American Geophysical Union.

  2. Analysis of surface absorbed dose in X-ray grating interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zhili, E-mail: wangnsrl@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China); Wu, Zhao; Gao, Kun; Wang, Dajiang; Chen, Heng; Wang, Shenghao [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China); Wu, Ziyu, E-mail: wuzy@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China); Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China)

    2014-10-15

    Highlights: • Theoretical framework for dose estimation in X-ray grating interferometry. • Potential dose reduction of X-ray grating interferometry compared to conventional radiography. • Guidelines for optimization of X-ray grating interferometry for dose-sensitive applications. • Measure to compare various existing X-ray phase contrast imaging techniques. - Abstract: X-ray phase contrast imaging using grating interferometry has shown increased contrast over conventional absorption imaging, and therefore the great potential of dose reduction. The extent of the dose reduction depends on the geometry of grating interferometry, the photon energy, the properties of the sample under investigation and the utilized detector. These factors also determine the capability of grating interferometry to distinguish between different tissues with a specified statistical certainty in a single raw image. In this contribution, the required photon number for imaging and the resulting surface absorbed dose are determined in X-ray grating interferometry, using a two-component imaging object model. The presented results confirm that compared to conventional radiography, phase contrast imaging using grating interferometry indeed has the potential of dose reduction. And the extent of dose reduction is strongly dependent on the imaging conditions. Those results provide a theoretical framework for dose estimation under given imaging conditions before experimental trials, and general guidelines for optimization of grating interferometry for those dose-sensitive applications.

  3. Analysis of surface absorbed dose in X-ray grating interferometry

    International Nuclear Information System (INIS)

    Wang, Zhili; Wu, Zhao; Gao, Kun; Wang, Dajiang; Chen, Heng; Wang, Shenghao; Wu, Ziyu

    2014-01-01

    Highlights: • Theoretical framework for dose estimation in X-ray grating interferometry. • Potential dose reduction of X-ray grating interferometry compared to conventional radiography. • Guidelines for optimization of X-ray grating interferometry for dose-sensitive applications. • Measure to compare various existing X-ray phase contrast imaging techniques. - Abstract: X-ray phase contrast imaging using grating interferometry has shown increased contrast over conventional absorption imaging, and therefore the great potential of dose reduction. The extent of the dose reduction depends on the geometry of grating interferometry, the photon energy, the properties of the sample under investigation and the utilized detector. These factors also determine the capability of grating interferometry to distinguish between different tissues with a specified statistical certainty in a single raw image. In this contribution, the required photon number for imaging and the resulting surface absorbed dose are determined in X-ray grating interferometry, using a two-component imaging object model. The presented results confirm that compared to conventional radiography, phase contrast imaging using grating interferometry indeed has the potential of dose reduction. And the extent of dose reduction is strongly dependent on the imaging conditions. Those results provide a theoretical framework for dose estimation under given imaging conditions before experimental trials, and general guidelines for optimization of grating interferometry for those dose-sensitive applications

  4. Phase-shift interferometry with a digital photocamera

    International Nuclear Information System (INIS)

    Vannoni, Maurizio; Trivi, Marcelo; Molesini, Giuseppe

    2007-01-01

    A phase-shift interferometry experiment is proposed, working on a Twyman-Green optical configuration with additional polarization components. A guideline is provided to modern phase-shift interferometry, using concepts and laboratory equipment at the level of undergraduate optics courses

  5. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  6. Nanostructure Secondary-Mirror Apodizing Mask for Transmitter Signal Suppression in a Duplex Telescope

    Science.gov (United States)

    Hagopian, John; Livas, Jeffrey; Shiri, Shahram; Getty, Stephanie; Tveekrem, June; Butler, James

    2012-01-01

    A document discusses a nanostructure apodizing mask, made of multi-walled carbon nanotubes, that is applied to the centers (or in and around the holes) of the secondary mirrors of telescopes that are used to interferometrically measure the strain of space-time in response to gravitational waves. The shape of this ultra-black mask can be adjusted to provide a smooth transition to the clear aperture of the secondary mirror to minimize diffracted light. Carbon nanotubes grown on silicon are a viable telescope mirror substrate, and can absorb significantly more light than other black treatments. The hemispherical reflectance of multi-walled carbon nanotubes grown at GSFC is approximately 3 to 10 times better than a standard aerospace paint used for stray light control. At the LISA (Laser Interferometer Space Antenna) wavelength of 1 micron, the advantage over paint is a factor of 10. Primarily, in the center of the secondary mirror (in the region of central obscuration, where no received light is lost) a black mask is applied to absorb transmitted light that could be reflected back into the receiver. In the LISA telescope, this is in the center couple of millimeters. The shape of this absorber is critical to suppress diffraction at the edge. By using the correct shape, the stray light can be reduced by approximately 10 to the 9 orders of magnitude versus no center mask. The effect of the nanotubes has been simulated in a stray-light model. The effect of the apodizing mask has been simulated in a near-field diffraction model. Specifications are geometry-dependent, but the baseline design for the LISA telescope has been modeled as well. The coatings are somewhat fragile, but work is continuing to enhance adhesion.

  7. Capabilities and prospects of the East Asia Very Long Baseline Interferometry Network

    Science.gov (United States)

    An, T.; Sohn, B. W.; Imai, H.

    2018-02-01

    The very long baseline interferometry (VLBI) technique offers angular resolutions superior to any other instruments at other wavelengths, enabling unique science applications of high-resolution imaging of radio sources and high-precision astrometry. The East Asia VLBI Network (EAVN) is a collaborative effort in the East Asian region. The EAVN currently consists of 21 telescopes with diverse equipment configurations and frequency setups, allowing flexible subarrays for specific science projects. The EAVN provides the highest resolution of 0.5 mas at 22 GHz, allowing the fine imaging of jets in active galactic nuclei, high-accuracy astrometry of masers and pulsars, and precise spacecraft positioning. The soon-to-be-operational Five-hundred-meter Aperture Spherical radio Telescope (FAST) will open a new era for the EAVN. This state-of-the-art VLBI array also provides easy access to and crucial training for the burgeoning Asian astronomical community. This Perspective summarizes the status, capabilities and prospects of the EAVN.

  8. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  9. Kaon interferometry; Interferometria de kaons

    Energy Technology Data Exchange (ETDEWEB)

    Roldao, C.G.; Padula, S.S. [Instituto de Fisica Teorica (IFT), Sao Paulo, SP (Brazil)

    1994-06-01

    Preliminary results of the {chi}{sup 2} analysis where data on kaon interferometry, obtained from the E859 Collaboration of the AGS/Brookhaven Nat.Lab., are compared with results of a hadronic resonance production model are presented. The main goal is to test the resolution power of the method here discussed when applied to the two-dimensional kaon interferometry. 11 refs., 2 figs.; e-mail: roldao at axp.ift.unesp.br; padula at axp.ift.unesp.br.

  10. Phase Referencing in Optical Interferometry

    OpenAIRE

    Filho, Mercedes E.; Garcia, Paulo; Duvert, Gilles; Duchene, Gaspard; Thiebaut, Eric; Young, John; Absil, Olivier; Berger, Jean-Phillipe; Beckert, Thomas; Hoenig, Sebastian; Schertl, Dieter; Weigelt, Gerd; Testi, Leonardo; Tatuli, Eric; Borkowski, Virginie

    2008-01-01

    One of the aims of next generation optical interferometric instrumentation is to be able to make use of information contained in the visibility phase to construct high dynamic range images. Radio and optical interferometry are at the two extremes of phase corruption by the atmosphere. While in radio it is possible to obtain calibrated phases for the science objects, in the optical this is currently not possible. Instead, optical interferometry has relied on closure phase techniques to produce...

  11. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  12. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  13. APT: Aperture Photometry Tool

    Science.gov (United States)

    Laher, Russ

    2012-08-01

    Aperture Photometry Tool (APT) is software for astronomers and students interested in manually exploring the photometric qualities of astronomical images. It has a graphical user interface (GUI) which allows the image data associated with aperture photometry calculations for point and extended sources to be visualized and, therefore, more effectively analyzed. Mouse-clicking on a source in the displayed image draws a circular or elliptical aperture and sky annulus around the source and computes the source intensity and its uncertainty, along with several commonly used measures of the local sky background and its variability. The results are displayed and can be optionally saved to an aperture-photometry-table file and plotted on graphs in various ways using functions available in the software. APT is geared toward processing sources in a small number of images and is not suitable for bulk processing a large number of images, unlike other aperture photometry packages (e.g., SExtractor). However, APT does have a convenient source-list tool that enables calculations for a large number of detections in a given image. The source-list tool can be run either in automatic mode to generate an aperture photometry table quickly or in manual mode to permit inspection and adjustment of the calculation for each individual detection. APT displays a variety of useful graphs, including image histogram, and aperture slices, source scatter plot, sky scatter plot, sky histogram, radial profile, curve of growth, and aperture-photometry-table scatter plots and histograms. APT has functions for customizing calculations, including outlier rejection, pixel “picking” and “zapping,” and a selection of source and sky models. The radial-profile-interpolation source model, accessed via the radial-profile-plot panel, allows recovery of source intensity from pixels with missing data and can be especially beneficial in crowded fields.

  14. Mastering Apple Aperture

    CERN Document Server

    Fitzgerald, Thomas

    2013-01-01

    Written in a conversational style, the author will share his knowledge on advanced Aperture topics with detailed discussions of advanced topics, the theory behind some of those topics and lots of hints and tips for ways to improve your workflow.Photographer's who have a basic understanding of Aperture

  15. Digital Double-Pulse Holographic Interferometry for Vibration Analysis

    Directory of Open Access Journals (Sweden)

    H.J. Tiziani

    1996-01-01

    Full Text Available Different arrangements for double-pulsed holographic and speckle interferometry for vibration analysis will be described. Experimental results obtained with films (classical holographic interferometry and CCD cameras (digital holographic interferometry as storage materials are presented. In digital holography, two separate holograms of an object under test are recorded within a few microseconds using a CCD camera and are stored in a frame grabber. The phases of the two reconstructed wave fields are calculated from the complex amplitudes. The deformation is obtained from the phase difference. In the case of electronic speckle pattern interferometry (or image plane hologram, the phase can be calculated by using the sinusoid-fitting method. In the case of digital holographic interferometry, the phase is obtained by digital reconstruction of the complex amplitudes of the wave fronts. Using three directions of illumination and one direction of observation, all the information necessary for the reconstruction of the 3-dimensional deformation vector can be recorded at the same time. Applications of the method for measuring rotating objects are discussed where a derotator needs to be used.

  16. Aperture area measurement facility

    Data.gov (United States)

    Federal Laboratory Consortium — NIST has established an absolute aperture area measurement facility for circular and near-circular apertures use in radiometric instruments. The facility consists of...

  17. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  18. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  19. Phase estimation in optical interferometry

    CERN Document Server

    Rastogi, Pramod

    2014-01-01

    Phase Estimation in Optical Interferometry covers the essentials of phase-stepping algorithms used in interferometry and pseudointerferometric techniques. It presents the basic concepts and mathematics needed for understanding the phase estimation methods in use today. The first four chapters focus on phase retrieval from image transforms using a single frame. The next several chapters examine the local environment of a fringe pattern, give a broad picture of the phase estimation approach based on local polynomial phase modeling, cover temporal high-resolution phase evaluation methods, and pre

  20. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  1. The Lindley paradox in optical interferometry

    International Nuclear Information System (INIS)

    Mauri, Camillo; Paris, Matteo G.A.

    2016-01-01

    The so-called Lindley paradox is a counterintuitive statistical effect where the Bayesian and frequentist approaches to hypothesis testing give radically different answers, depending on the choice of the prior distribution. In this paper we address the occurrence of the Lindley paradox in optical interferometry and discuss its implications for high-precision measurements. In particular, we focus on phase estimation by Mach–Zehnder interferometers and show how to mitigate the conflict between the two approaches by using suitable priors. - Highlights: • We address the occurence of Lindley paradox in interferometry and discuss its implications for high-precision measurements. • We show how to mitigate the conflict between Bayesian and frequentist approach to interferometry using suitable priors. • Our results apply to calibration of homodyne detectors for quantum tomography.

  2. Tilt shift determinations with spatial-carrier phase-shift method in temporal phase-shift interferometry

    International Nuclear Information System (INIS)

    Liu, Qian; Wang, Yang; He, Jianguo; Ji, Fang; Wang, Baorui

    2014-01-01

    An algorithm is proposed to deal with tilt-shift errors in temporal phase-shift interferometry (PSI). In the algorithm, the tilt shifts are detected with the spatial-carrier phase-shift (SCPS) method and then the tilt shifts are applied as priori information to the least-squares fittings of phase retrieval. The algorithm combines the best features of the SCPS and the temporal PSI. The algorithm could be applied to interferograms of arbitrary aperture without data extrapolation for the Fourier transform is not involved. Simulations and experiments demonstrate the effectiveness of the algorithm. The statistics of simulation results show a satisfied accuracy in detecting tilt-shift errors. Comparisons of the measurements with and without environmental vibration show that the proposed algorithm could compensate tilt-shift errors and retrieve wavefront phase accurately. The algorithm provides an approach to retrieve wavefront phase for the temporal PSI in vibrating environment. (paper)

  3. Speckle interferometry

    Science.gov (United States)

    Sirohi, Rajpal S.

    2002-03-01

    Illumination of a rough surface by a coherent monochromatic wave creates a grainy structure in space termed a speckle pattern. It was considered a special kind of noise and was the bane of holographers. However, its information-carrying property was soon discovered and the phenomenon was used for metrological applications. The realization that a speckle pattern carried information led to a new measurement technique known as speckle interferometry (SI). Although the speckle phenomenon in itself is a consequence of interference among numerous randomly dephased waves, a reference wave is required in SI. Further, it employs an imaging geometry. Initially SI was performed mostly by using silver emulsions as the recording media. The double-exposure specklegram was filtered to extract the desired information. Since SI can be configured so as to be sensitive to the in-plane displacement component, the out-of-plane displacement component or their derivatives, the interferograms corresponding to these were extracted from the specklegram for further analysis. Since the speckle size can be controlled by the F number of the imaging lens, it was soon realized that SI could be performed with electronic detection, thereby increasing its accuracy and speed of measurement. Furthermore, a phase-shifting technique can also be incorporated. This technique came to be known as electronic speckle pattern interferometry (ESPI). It employed the same experimental configurations as SI. ESPI found many industrial applications as it supplements holographic interferometry. We present three examples covering diverse areas. In one application it has been used to measure residual stress in a blank recordable compact disk. In another application, microscopic ESPI has been used to study the influence of relative humidity on paint-coated figurines and also the effect of a conservation agent applied on top of this. The final application is to find the defects in pipes. These diverse applications

  4. Tissue Harmonic Synthetic Aperture Imaging

    DEFF Research Database (Denmark)

    Rasmussen, Joachim

    The main purpose of this PhD project is to develop an ultrasonic method for tissue harmonic synthetic aperture imaging. The motivation is to advance the field of synthetic aperture imaging in ultrasound, which has shown great potentials in the clinic. Suggestions for synthetic aperture tissue...... system complexity compared to conventional synthetic aperture techniques. In this project, SASB is sought combined with a pulse inversion technique for 2nd harmonic tissue harmonic imaging. The advantages in tissue harmonic imaging (THI) are expected to further improve the image quality of SASB...

  5. Survey of coded aperture imaging

    International Nuclear Information System (INIS)

    Barrett, H.H.

    1975-01-01

    The basic principle and limitations of coded aperture imaging for x-ray and gamma cameras are discussed. Current trends include (1) use of time varying apertures, (2) use of ''dilute'' apertures with transmission much less than 50%, and (3) attempts to derive transverse tomographic sections, unblurred by other planes, from coded images

  6. Interferometry

    Science.gov (United States)

    Ridgway, Stephen; Wilson, Robert W.; Begelman, Mitchell C.; Bender, Peter; Burke, Bernard F.; Cornwell, Tim; Drever, Ronald; Dyck, H. Melvin; Johnston, Kenneth J.; Kibblewhite, Edward

    1991-01-01

    The following recommended programs are reviewed: (1) infrared and optical interferometry (a ground-based and space programs); (2) compensation for the atmosphere with adaptive optics (a program for development and implementation of adaptive optics); and (3) gravitational waves (high frequency gravitational wave sources (LIGO), low frequency gravitational wave sources (LAGOS), a gravitational wave observatory program, laser gravitational wave observatory in space, and technology development during the 1990's). Prospects for international collaboration and related issues are also discussed.

  7. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  8. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  9. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  10. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  11. Holographic interferometry in construction analysis

    Energy Technology Data Exchange (ETDEWEB)

    Hartikainen, T.

    1995-12-31

    In this work techniques for visualizing phase and opaque objects by ruby laser interferometry are introduced. A leakage flow as a phase object is studied by holographic interferometry and the intensity distribution of the interferograms presenting the leakage flow are computer-simulated. A qualitative and quantitative analysis of the leakage flow is made. The analysis is based on the experimental and theoretical results presented in this work. The holographic setup and the double pass method for visualizing leakage flow are explained. A vibrating iron plate is the opaque object. Transient impact waves are generated by a pistol bullet on the iron plate and visualized by holographic interferometry. An apparatus with the capability of detecting and calculating the delays necessary for laser triggering is introduced. A time series of interferograms presenting elastic wave formation in an iron plate is shown. A computer-simulation of the intensity distributions of these interferograms is made. An analysis based on the computer-simulation and the experimental data of the transient elastic wave is carried out and the results are presented. (author)

  12. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  13. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  14. The influence on the interferometry due to the instability of ground-based synthetic aperture radar work platform

    Science.gov (United States)

    Tao, Gang; Wei, Guohua; Wang, Xu; Kong, Ming

    2018-03-01

    There has been increased interest over several decades for applying ground-based synthetic aperture radar (GB-SAR) for monitoring terrain displacement. GB-SAR can achieve multitemporal surface deformation maps of the entire terrain with high spatial resolution and submilimetric accuracy due to the ability of continuous monitoring a certain area day and night regardless of the weather condition. The accuracy of the interferometric measurement result is very important. In this paper, the basic principle of InSAR is expounded, the influence of the platform's instability on the interferometric measurement results are analyzed. The error sources of deformation detection estimation are analyzed using precise geometry of imaging model. Finally, simulation results demonstrates the validity of our analysis.

  15. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  16. SAR interferometry applications on active volcanoes. State of the art and perspectives for volcano monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Puglisi, G.; Coltelli, M. [Istituto Nazionale di Geofisica e Vulcanologia, Catania (Italy)

    2001-02-01

    In this paper the application of the Synthetic Aperture Radar Interferometry (INSAR) on volcanology is analysed. Since it is not a real novelty among the different applications of INSAR in Earth Observation activities, at the beginning of this paper it is analysed the state of the art of the researches in this field. During the discussion, the point of view of volcanologists is favoured because it is considered that the first applications were often badly aimed. Consequently, the initial INSAR performances in volcanology were overrated with respect to the real capabilities of this technique. This fact lead to discover some unexpected limitations in INSAR usage in volcano monitoring, but, at the same time, spurred on scientists to overcome these drawbacks. The results achieved recently allow to better apply SAR to volcanology; in the paper a possible operative work-plan aimed at introducing INSAR in the volcano monitoring system is presented.

  17. Iterative supervirtual refraction interferometry

    KAUST Repository

    Al-Hagan, Ola

    2014-05-02

    In refraction tomography, the low signal-to-noise ratio (S/N) can be a major obstacle in picking the first-break arrivals at the far-offset receivers. To increase the S/N, we evaluated iterative supervirtual refraction interferometry (ISVI), which is an extension of the supervirtual refraction interferometry method. In this method, supervirtual traces are computed and then iteratively reused to generate supervirtual traces with a higher S/N. Our empirical results with both synthetic and field data revealed that ISVI can significantly boost up the S/N of far-offset traces. The drawback is that using refraction events from more than one refractor can introduce unacceptable artifacts into the final traveltime versus offset curve. This problem can be avoided by careful windowing of refraction events.

  18. Iterative supervirtual refraction interferometry

    KAUST Repository

    Al-Hagan, Ola; Hanafy, Sherif M.; Schuster, Gerard T.

    2014-01-01

    In refraction tomography, the low signal-to-noise ratio (S/N) can be a major obstacle in picking the first-break arrivals at the far-offset receivers. To increase the S/N, we evaluated iterative supervirtual refraction interferometry (ISVI), which is an extension of the supervirtual refraction interferometry method. In this method, supervirtual traces are computed and then iteratively reused to generate supervirtual traces with a higher S/N. Our empirical results with both synthetic and field data revealed that ISVI can significantly boost up the S/N of far-offset traces. The drawback is that using refraction events from more than one refractor can introduce unacceptable artifacts into the final traveltime versus offset curve. This problem can be avoided by careful windowing of refraction events.

  19. Combined mask and illumination scheme optimization for robust contact patterning on 45nm technology node flash memory devices

    Science.gov (United States)

    Vaglio Pret, Alessandro; Capetti, Gianfranco; Bollin, Maddalena; Cotti, Gina; De Simone, Danilo; Cantù, Pietro; Vaccaro, Alessandro; Soma, Laura

    2008-03-01

    Immersion Lithography is the most important technique for extending optical lithography's capabilities and meeting the requirements of Semiconductor Roadmap. The introduction of immersion tools has recently allowed the development of 45nm technology node in single exposure. Nevertheless the usage of hyper-high NA scanners (NA > 1), some levels still remain very critical to be imaged with sufficient process performances. For memory devices, contact mask is for sure the most challenging layer. Aim of this paper is to present the lithographic assessment of 193nm contact holes process, with k I value of ~0.30 using NA 1.20 immersion lithography (minimum pitch is 100nm). Different issues will be reported, related to mask choices (Binary or Attenuated Phase Shift) and illuminator configurations. First phase of the work will be dedicated to a preliminary experimental screening on a simple test case in order to reduce the variables in the following optimization sections. Based on this analysis we will discard X-Y symmetrical illuminators (Annular, C-Quad) due to poor contrast. Second phase will be dedicated to a full simulation assessment. Different illuminators will be compared, with both mask type and several mask biases. From this study, we will identify some general trends of lithography performances that can be used for the fine tuning of the RET settings. The last phase of the work will be dedicated to find the sensitivity trends for one of the analyzed illuminators. In particular we study the effect of Numerical Aperture, mask bias in both X and Y direction and poles sigma ring-width and centre.

  20. Aperture averaging in strong oceanic turbulence

    Science.gov (United States)

    Gökçe, Muhsin Caner; Baykal, Yahya

    2018-04-01

    Receiver aperture averaging technique is employed in underwater wireless optical communication (UWOC) systems to mitigate the effects of oceanic turbulence, thus to improve the system performance. The irradiance flux variance is a measure of the intensity fluctuations on a lens of the receiver aperture. Using the modified Rytov theory which uses the small-scale and large-scale spatial filters, and our previously presented expression that shows the atmospheric structure constant in terms of oceanic turbulence parameters, we evaluate the irradiance flux variance and the aperture averaging factor of a spherical wave in strong oceanic turbulence. Irradiance flux variance variations are examined versus the oceanic turbulence parameters and the receiver aperture diameter are examined in strong oceanic turbulence. Also, the effect of the receiver aperture diameter on the aperture averaging factor is presented in strong oceanic turbulence.

  1. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  2. Vibration insensitive interferometry

    Science.gov (United States)

    Millerd, James; Brock, Neal; Hayes, John; Kimbrough, Brad; North-Morris, Michael; Wyant, James C.

    2017-11-01

    The largest limitation of phase-shifting interferometry for optical testing is the sensitivity to the environment, both vibration and air turbulence. An interferometer using temporal phase-shifting is very sensitive to vibration because the various phase shifted frames of interferometric data are taken at different times and vibration causes the phase shifts between the data frames to be different from what is desired. Vibration effects can be reduced by taking all the phase shifted frames simultaneously and turbulence effects can be reduced by averaging many measurements. There are several techniques for simultaneously obtaining several phase-shifted interferograms and this paper will discuss two such techniques: 1) Simultaneous phase-shifting interferometry on a single detector array (PhaseCam) and 2) Micropolarizer phase-shifting array. The application of these techniques for the testing of large optical components, measurement of vibrational modes, the phasing of segmented optical components, and the measurement of deformations of large diffuse structures is described.

  3. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  4. Interferometry and synthesis in radio astronomy

    CERN Document Server

    Thompson, A Richard; Swenson Jr , George W

    2017-01-01

    This book is open access under a CC BY-NC 4.0 license. The third edition of this indispensable book in radio interferometry provides extensive updates to the second edition, including results and technical advances from the past decade; discussion of arrays that now span the full range of the radio part of the electromagnetic spectrum observable from the ground, 10 MHz to 1 THz; an analysis of factors that affect array speed; and an expanded discussion of digital signal-processing techniques and of scintillation phenomena and the effects of atmospheric water vapor on image distortion, among many other topics. With its comprehensiveness and detailed exposition of all aspects of the theory and practice of radio interferometry and synthesis imaging, this book has established itself as a standard reference in the field. It begins with an overview of the basic principles of radio astronomy, a short history of the development of radio interferometry, and an elementary discussion of the operation of an interferomete...

  5. The LHC dynamic aperture

    CERN Document Server

    Koutchouk, Jean-Pierre

    1999-01-01

    In 1996, the expected field errors in the dipoles and quadrupoles yielded a long-term dynamic aperture of some 8sigma at injection. The target was set to 12sigma to account for the limitations of our model (imperfections and dynamics). From scaling laws and tracking, a specification for the field imperfections yielding the target dynamic aperture was deduced. The gap between specification and expected errors is being bridged by i) an improvement of the dipole field quality, ii) a balance between geometric and persistent current errors, iii) additional correction circuits (a3 ,b4 ). With the goal in view, the emphasis has now turned to the sensitivity of the dynamic aperture to the optical parameters.The distortion of the dynamics at the lower amplitudes effectively reached by the particles is minimized by optimizing the distribution of the betatron phase advance. At collision energy, the dynamic aperture is limited by the field imperfections of the low-beta triplets, enhanced by the crossing angle. With corre...

  6. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  7. Samuel A. Werner Pioneer of Neutron Interferometry

    International Nuclear Information System (INIS)

    Klein, Anthony

    2005-01-01

    Full text: In 1975, Sam Werner and his collaborators on the staff of the Scientific Laboratory of the Ford Motor Company carried out one of the pioneering experiments in neutron interferometry at the 2MW University of Michigan research reactor. It was the famous COW Experiment on gravitationally induced quantum interference. Shortly thereafter he moved to the University of Missouri in Columbia, to set up a program of neutron scattering research, including neutron interferometry. In the 25 years until his retirement a large number of beautiful experiments have been performed by Sam, with his group, his numerous students and many international collaborators. The Interferometry and Coherence session at this conference has been organized in his honour and the collected papers presented by his friends, collaborators and former students form his Festschrift. (author)

  8. Constraints on the geomorphological evolution of the nested summit craters of Láscar volcano from high spatio-temporal resolution TerraSAR-X interferometry

    Science.gov (United States)

    Richter, Nicole; Salzer, Jacqueline Tema; de Zeeuw-van Dalfsen, Elske; Perissin, Daniele; Walter, Thomas R.

    2018-03-01

    Small-scale geomorphological changes that are associated with the formation, development, and activity of volcanic craters and eruptive vents are often challenging to characterize, as they may occur slowly over time, can be spatially localized, and difficult, or dangerous, to access. Using high-spatial and high-temporal resolution synthetic aperture radar (SAR) imagery collected by the German TerraSAR-X (TSX) satellite in SpotLight mode in combination with precise topographic data as derived from Pléiades-1A satellite data, we investigate the surface deformation within the nested summit crater system of Láscar volcano, Chile, the most active volcano of the central Andes. Our aim is to better understand the structural evolution of the three craters that comprise this system, to assess their physical state and dynamic behavior, and to link this to eruptive activity and associated hazards. Using multi-temporal SAR interferometry (MT-InSAR) from ascending and descending orbital geometries, we retrieve the vertical and east-west components of the displacement field. This time series indicates constant rates of subsidence and asymmetric horizontal displacements of all summit craters between June 2012 and July 2014, as well as between January 2015 and March 2017. The vertical and horizontal movements that we observe in the central crater are particularly complex and cannot be explained by any single crater formation mechanism; rather, we suggest that short-term activities superimposed on a combination of ongoing crater evolution processes, including gravitational slumping, cooling and compaction of eruption products, as well as possible piston-like subsidence, are responsible for the small-scale geomorphological changes apparent in our data. Our results demonstrate how high-temporal resolution synthetic aperture radar interferometry (InSAR) time series can add constraints on the geomorphological evolution and structural dynamics of active crater and vent systems at

  9. Super-virtual refraction interferometry: Theory

    KAUST Repository

    Bharadwaj, Pawan

    2011-01-01

    Inverting for the subsurface velocity distribution by refraction traveltime tomography is a well-accepted imaging method by both the exploration and earthquake seismology communities. A significant drawback, however, is that the recorded traces become noisier with increasing offset from the source position, and so prevents accurate picking of traveltimes in far-offset traces. To enhance the signal-to-noise ratio of the far-offset traces, we present the theory of super-virtual refraction interferometry where the signal-to-noise ratio (SNR) of far-offset head-wave arrivals can be theoretically increased by a factor proportional to N; here, N is the number of receiver and source positions associated with the recording and generation of the head-wave arrival. There are two steps to this methodology: correlation and summation of the data to generate traces with virtual head-wave arrivals, followed by the convolution of the data with the virtual traces to create traces with super-virtual head-wave arrivals. This method is valid for any medium that generates head-wave arrivals. There are at least three significant benefits to this methodology: 1). enhanced SNR of far-offset traces so the first-arrival traveltimes of the noisy far-offset traces can be more reliably picked to extend the useful aperture of data, 2). the SNR of head waves in a trace that arrive after the first arrival can be enhanced for accurate traveltime picking and subsequent inversion by traveltime tomography, and 3). common receiver-pair gathers can be analyzed to detect the presence of diving waves in the first arrivals, which can be used to assess the nature of the refracting boundary. © 2011 Society of Exploration Geophysicists.

  10. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  11. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  12. Development and evaluation of a portable CZT coded aperture gamma-camera

    Energy Technology Data Exchange (ETDEWEB)

    Montemont, G.; Monnet, O.; Stanchina, S.; Maingault, L.; Verger, L. [CEA, LETI, Minatec Campus, Univ. Grenoble Alpes, 38054 Grenoble, (France); Carrel, F.; Lemaire, H.; Schoepff, V. [CEA, LIST, 91191 Gif-sur-Yvette, (France); Ferrand, G.; Lalleman, A.-S. [CEA, DAM, DIF, 91297 Arpajon, (France)

    2015-07-01

    We present the design and the evaluation of a CdZnTe (CZT) based gamma camera using a coded aperture mask. This camera, based on a 8 cm{sup 3} detection module, is small enough to be portable and battery-powered (4 kg weight and 4 W power dissipation). As the detector has spectral capabilities, the gamma camera allows isotope identification and colored imaging, by affecting one color channel to each identified isotope. As all data processing is done at real time, the user can directly observe the outcome of an acquisition and can immediately react to what he sees. We first present the architecture of the system, how the detector works, and its performances. After, we focus on the imaging technique used and its strengths and limitations. Finally, results concerning sensitivity, spatial resolution, field of view and multi-isotope imaging are shown and discussed. (authors)

  13. Development and evaluation of a portable CZT coded aperture gamma-camera

    International Nuclear Information System (INIS)

    Montemont, G.; Monnet, O.; Stanchina, S.; Maingault, L.; Verger, L.; Carrel, F.; Lemaire, H.; Schoepff, V.; Ferrand, G.; Lalleman, A.-S.

    2015-01-01

    We present the design and the evaluation of a CdZnTe (CZT) based gamma camera using a coded aperture mask. This camera, based on a 8 cm 3 detection module, is small enough to be portable and battery-powered (4 kg weight and 4 W power dissipation). As the detector has spectral capabilities, the gamma camera allows isotope identification and colored imaging, by affecting one color channel to each identified isotope. As all data processing is done at real time, the user can directly observe the outcome of an acquisition and can immediately react to what he sees. We first present the architecture of the system, how the detector works, and its performances. After, we focus on the imaging technique used and its strengths and limitations. Finally, results concerning sensitivity, spatial resolution, field of view and multi-isotope imaging are shown and discussed. (authors)

  14. Large aperture optical switching devices

    International Nuclear Information System (INIS)

    Goldhar, J.; Henesian, M.A.

    1983-01-01

    We have developed a new approach to constructing large aperture optical switches for next generation inertial confinement fusion lasers. A transparent plasma electrode formed in low pressure ionized gas acts as a conductive coating to allow the uniform charging of the optical faces of an electro-optic material. In this manner large electric fields can be applied longitudinally to large aperture, high aspect ratio Pockels cells. We propose a four-electrode geometry to create the necessary high conductivity plasma sheets, and have demonstrated fast (less than 10 nsec) switching in a 5x5 cm aperture KD*P Pockels cell with such a design. Detaid modelling of Pockels cell performance with plasma electrodes has been carried out for 15 and 30 cm aperture designs

  15. Design, development, installation and commissioning of water-cooled pre-masks for undulator front-ends of Indus-2

    International Nuclear Information System (INIS)

    Raghuvanshi, V.K.; Prasad, Vijendra; Garg, S.R.; Jain, Vikas

    2015-01-01

    Recently two undulators U1 and U2 are installed in Indus-2 storage ring at RRCAT, Indore. When U1 and U2 are put in operation, a bright synchrotron radiation (SR) is produced which is transmitted through the zero degree port of the dipole vacuum chamber. In addition, a part of SR beam from the bending magnets, at the upstream and downstream of the undulator, is also overlapped with the undulator SR beam and transmitted in to the front-end through the same port. The front-end is a long ultra high vacuum (UHV) assembly consisting of water-cooled pre-mask, water-cooled shutters, UHV valves, diagnostic devices, safety shutter, vacuum pumps etc which acts as an interface between Indus-2 ring and beamline. Water-cooled pre- masks have been designed to cut a part of unwanted SR beam from the bending magnets. The pre-mask is a first active component in the undulator front-end which is also capable of absorbing high thermal load due to mis-steering of the SR beam from the undulator in the worst case scenario. The watercooled pre-mask consists of a copper block which has fixed aperture with slant faces to distribute the heat flux over a large surface area. The cooling channels are made on outer periphery of the block. The copper block is vacuum brazed with two conflat flanges of stainless steel at the two ends. The pre-mask is designed to absorb thermal load of 3 kW of synchrotron beam from undulator U1 and 2 kW of synchrotron beam from undulator U2. The thermal analysis of the pre-masks was carried out with the help of ANSYS® and the design was optimized with different cooling configurations. The main design criteria was to limit the maximum temperature of the mask less than 60 °C. This is to avoid substantial thermal outgassing from the heated portion which may deteriorate the ultra high vacuum. Pre-masks have been successfully tested, installed and commissioned with synchrotron beam in the undulator front-ends and are operating under vacuum of 5x10 -10 mbar. (author)

  16. Very-Long-Baseline Radio Interferometry: The Mark III System for Geodesy, Astrometry, and Aperture Synthesis.

    Science.gov (United States)

    Rogers, A E; Cappallo, R J; Hinteregger, H F; Levine, J I; Nesman, E F; Webber, J C; Whitney, A R; Clark, T A; Ma, C; Ryan, J; Corey, B E; Counselman, C C; Herring, T A; Shapiro, I I; Knight, C A; Shaffer, D B; Vandenberg, N R; Lacasse, R; Mauzy, R; Rayhrer, B; Schupler, B R; Pigg, J C

    1983-01-07

    The Mark III very-long-baseline interferometry (VLBI) system allows recording and later processing of up to 112 megabits per second from each radio telescope of an interferometer array. For astrometric and geodetic measurements, signals from two radio-frequency bands (2.2 to 2.3 and 8.2 to 8.6 gigahertz) are sampled and recorded simultaneously at all antenna sites. From these dual-band recordings the relative group delays of signals arriving at each pair of sites can be corrected for the contributions due to the ionosphere. For many radio sources for which the signals are sufficiently intense, these group delays can be determined with uncertainties under 50 picoseconds. Relative positions of widely separated antennas and celestial coordinates of radio sources have been determined from such measurements with 1 standard deviation uncertainties of about 5 centimeters and 3 milliseconds of arc, respectively. Sample results are given for the lengths of baselines between three antennas in the United States and three in Europe as well as for the arc lengths between the positions of six extragalactic radio sources. There is no significant evidence of change in any of these quantities. For mapping the brightness distribution of such compact radio sources, signals of a given polarization, or of pairs of orthogonal polarizations, can be recorded in up to 28 contiguous bands each nearly 2 megahertz wide. The ability to record large bandwidths and to link together many large radio telescopes allows detection and study of compact sources with flux densities under 1 millijansky.

  17. Pipeline monitoring with interferometry in non-arid regions

    Energy Technology Data Exchange (ETDEWEB)

    McCardle, Adrian; Rabus, Bernhard; Ghuman, Parwant [MacDonald Dettwiler, Richmond, BC (Canada); Freymueller, Jeff T. [University of Alaska, Fairbanks (United States)

    2005-07-01

    Interferometry has become a proven technique for accurately measuring ground movements caused by subsidence, landslides, earthquakes and volcanoes. Using space borne sensors such as the ERS, ENVISAT and RADARSAT satellites, ground deformation can be monitored on a millimeter level. Traditionally interferometry has been limited to arid areas however new technology has allowed for successful monitoring in vegetated regions and areas of changing land-cover. Analysis of ground movement of the Trans-Alaskan pipeline demonstrates how these techniques can offer pipeline engineers a new tool for observing potential dangers to pipeline integrity. Results from Interferometric Point Target Analysis were compared with GPS measurements and speckle tracking interferometry was demonstrated to measure a major earthquake. (author)

  18. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  19. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. RADAR INTERFEROMETRY APPLICATION FOR DIGITAL ELEVATION MODEL IN MOUNT BROMO, INDONESIA

    Directory of Open Access Journals (Sweden)

    Noorlaila Hayati

    2015-06-01

    Full Text Available This paper reviewed the result and processing of digital elevation model (DEM using L-Band ALOS PALSAR data and two-pass radar interferometry method in Bromo Mountain region. Synthetic Aperture Radar is an advanced technology that has been used to monitor deformation, land cover change, image detection and especially topographic information such as DEM.  We used two scenes of SAR imageries to generate DEM extraction which assumed there is no deformation effect between two acquisitions. We could derive topographic information using phase difference by combining two single looks complex (SLC images called focusing process. The next steps were doing interferogram generation, phase unwrapping and geocoding. DEM-InSAR was compared to SRTM 90m that there were significant elevation differences between two DEMs such as smoothing surface and detail topographic. Particularly for hilly areas, DEM-InSAR showed better quality than SRTM 90 m where the elevation could have 25.94 m maximum gap. Although the processing involved adaptive filter to amplify the phase signal, we concluded that InSAR DEM result still had error noise because of signal wavelength, incidence angle, SAR image relationship, and only using ascending orbit direction.

  1. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  2. Parsimonious Surface Wave Interferometry

    KAUST Repository

    Li, Jing

    2017-10-24

    To decrease the recording time of a 2D seismic survey from a few days to one hour or less, we present a parsimonious surface-wave interferometry method. Interferometry allows for the creation of a large number of virtual shot gathers from just two reciprocal shot gathers by crosscoherence of trace pairs, where the virtual surface waves can be inverted for the S-wave velocity model by wave-equation dispersion inversion (WD). Synthetic and field data tests suggest that parsimonious wave-equation dispersion inversion (PWD) gives S-velocity tomograms that are comparable to those obtained from a full survey with a shot at each receiver. The limitation of PWD is that the virtual data lose some information so that the resolution of the S-velocity tomogram can be modestly lower than that of the S-velocity tomogram inverted from a conventional survey.

  3. Parsimonious Surface Wave Interferometry

    KAUST Repository

    Li, Jing; Hanafy, Sherif; Schuster, Gerard T.

    2017-01-01

    To decrease the recording time of a 2D seismic survey from a few days to one hour or less, we present a parsimonious surface-wave interferometry method. Interferometry allows for the creation of a large number of virtual shot gathers from just two reciprocal shot gathers by crosscoherence of trace pairs, where the virtual surface waves can be inverted for the S-wave velocity model by wave-equation dispersion inversion (WD). Synthetic and field data tests suggest that parsimonious wave-equation dispersion inversion (PWD) gives S-velocity tomograms that are comparable to those obtained from a full survey with a shot at each receiver. The limitation of PWD is that the virtual data lose some information so that the resolution of the S-velocity tomogram can be modestly lower than that of the S-velocity tomogram inverted from a conventional survey.

  4. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  5. Resolving power test of 2-D K+ K+ interferometry

    International Nuclear Information System (INIS)

    Padula, Sandra S.; Roldao, Christiane G.

    1999-01-01

    Adopting a procedure previously proposed to quantitatively study pion interferometry 1 , an equivalent 2-D X 2 analysis was performed to test the resolving power of that method when applied to less favorable conditions, when no significant contribution from long lived resonances is expected, as in kaon interferometry. For that purpose, use is made of the preliminary E859 K + K + interferometry data from Si+Au collisions at 14.6 A GeV/c. Less sensitivity is achieved in the present case, although it is shown that it is still possible to distinguish two distinct decoupling geometries. (author)

  6. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  7. Application of Fractional Fourier Transform to Moving Target Indication via Along-Track Interferometry

    Directory of Open Access Journals (Sweden)

    Chiu Shen

    2005-01-01

    Full Text Available A relatively unknown yet powerful technique, the so-called fractional Fourier transform (FrFT, is applied to SAR along-track interferometry (SAR-ATI in order to estimate moving target parameters. By mapping a target's signal onto a fractional Fourier axis, the FrFT permits a constant-velocity target to be focused in the fractional Fourier domain thereby affording orders of magnitude improvement in SCR. Moving target velocity and position parameters are derived and expressed in terms of an optimum fractional angle and a measured fractional Fourier position , allowing a target to be accurately repositioned and its velocity components computed without actually forming an SAR image. The new estimation algorithm is compared with the matched filter bank approach, showing some of the advantages of the FrFT method. The proposed technique is applied to the data acquired by the two-aperture CV580 airborne radar system configured in its along-track mode. Results show that the method is effective in estimating target velocity and position parameters.

  8. Holographic interferometry of high pressure

    International Nuclear Information System (INIS)

    McIlwain, M.E.

    1987-01-01

    Measurements in turbulent flows have been historically performed using various types of probes and optical diagnostic methods. In general, probes suffer from plasma perturbation effects and are single point determination methods. Optical methods appear to be better suited to determinations in turbulent flows, however interpretation of the resulting data can often be complex. Methods such as laser Doppler anemometry, which relies on entrained particles, suffers from the fact that particles small enough to be swept along by the plasma are usually melted or sublimed in the plasma. Light refraction or diffraction methods such as shadow photography, interferometry, and holography have also been used to observe plasma flows. These methods typically suffer from the difficulty of interpreting line of sight images and obtaining quantitative data. A new method based on multi-pass holographic interferometry will be discussed. This method has certain advantages which can significantly simplify the complexity of line of sight interferometry image deconvolution. When the method employs high speed cinematography, time resolved images of the plasma flow can be obtained. This method has been applied to both transferred and non-transferred arcs and various types of DC-plasma torch produced jets. These studies and conclusions as to the usefulness of the technique are presented

  9. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  10. Range Compressed Holographic Aperture Ladar

    Science.gov (United States)

    2017-06-01

    entropy saturation behavior of the estimator is analytically described. Simultaneous range-compression and aperture synthesis is experimentally...4 2.1 Circular and Inverse -Circular HAL...2.3 Single Aperture, Multi-λ Imaging ...................................................................................... 14 2.4 Simultaneous Range

  11. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  12. Absolute marine gravimetry with matter-wave interferometry.

    Science.gov (United States)

    Bidel, Y; Zahzam, N; Blanchard, C; Bonnin, A; Cadoret, M; Bresson, A; Rouxel, D; Lequentrec-Lalancette, M F

    2018-02-12

    Measuring gravity from an aircraft or a ship is essential in geodesy, geophysics, mineral and hydrocarbon exploration, and navigation. Today, only relative sensors are available for onboard gravimetry. This is a major drawback because of the calibration and drift estimation procedures which lead to important operational constraints. Atom interferometry is a promising technology to obtain onboard absolute gravimeter. But, despite high performances obtained in static condition, no precise measurements were reported in dynamic. Here, we present absolute gravity measurements from a ship with a sensor based on atom interferometry. Despite rough sea conditions, we obtained precision below 10 -5  m s -2 . The atom gravimeter was also compared with a commercial spring gravimeter and showed better performances. This demonstration opens the way to the next generation of inertial sensors (accelerometer, gyroscope) based on atom interferometry which should provide high-precision absolute measurements from a moving platform.

  13. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  14. Feasibility of Using Synthetic Aperture Radar to Aid UAV Navigation.

    Science.gov (United States)

    Nitti, Davide O; Bovenga, Fabio; Chiaradia, Maria T; Greco, Mario; Pinelli, Gianpaolo

    2015-07-28

    This study explores the potential of Synthetic Aperture Radar (SAR) to aid Unmanned Aerial Vehicle (UAV) navigation when Inertial Navigation System (INS) measurements are not accurate enough to eliminate drifts from a planned trajectory. This problem can affect medium-altitude long-endurance (MALE) UAV class, which permits heavy and wide payloads (as required by SAR) and flights for thousands of kilometres accumulating large drifts. The basic idea is to infer position and attitude of an aerial platform by inspecting both amplitude and phase of SAR images acquired onboard. For the amplitude-based approach, the system navigation corrections are obtained by matching the actual coordinates of ground landmarks with those automatically extracted from the SAR image. When the use of SAR amplitude is unfeasible, the phase content can be exploited through SAR interferometry by using a reference Digital Terrain Model (DTM). A feasibility analysis was carried out to derive system requirements by exploring both radiometric and geometric parameters of the acquisition setting. We showed that MALE UAV, specific commercial navigation sensors and SAR systems, typical landmark position accuracy and classes, and available DTMs lead to estimated UAV coordinates with errors bounded within ±12 m, thus making feasible the proposed SAR-based backup system.

  15. Feasibility of Using Synthetic Aperture Radar to Aid UAV Navigation

    Directory of Open Access Journals (Sweden)

    Davide O. Nitti

    2015-07-01

    Full Text Available This study explores the potential of Synthetic Aperture Radar (SAR to aid Unmanned Aerial Vehicle (UAV navigation when Inertial Navigation System (INS measurements are not accurate enough to eliminate drifts from a planned trajectory. This problem can affect medium-altitude long-endurance (MALE UAV class, which permits heavy and wide payloads (as required by SAR and flights for thousands of kilometres accumulating large drifts. The basic idea is to infer position and attitude of an aerial platform by inspecting both amplitude and phase of SAR images acquired onboard. For the amplitude-based approach, the system navigation corrections are obtained by matching the actual coordinates of ground landmarks with those automatically extracted from the SAR image. When the use of SAR amplitude is unfeasible, the phase content can be exploited through SAR interferometry by using a reference Digital Terrain Model (DTM. A feasibility analysis was carried out to derive system requirements by exploring both radiometric and geometric parameters of the acquisition setting. We showed that MALE UAV, specific commercial navigation sensors and SAR systems, typical landmark position accuracy and classes, and available DTMs lead to estimated UAV coordinates with errors bounded within ±12 m, thus making feasible the proposed SAR-based backup system.

  16. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  17. Synthetic Aperture Sequential Beamforming

    DEFF Research Database (Denmark)

    Kortbek, Jacob; Jensen, Jørgen Arendt; Gammelmark, Kim Løkke

    2008-01-01

    A synthetic aperture focusing (SAF) technique denoted Synthetic Aperture Sequential Beamforming (SASB) suitable for 2D and 3D imaging is presented. The technique differ from prior art of SAF in the sense that SAF is performed on pre-beamformed data contrary to channel data. The objective is to im......A synthetic aperture focusing (SAF) technique denoted Synthetic Aperture Sequential Beamforming (SASB) suitable for 2D and 3D imaging is presented. The technique differ from prior art of SAF in the sense that SAF is performed on pre-beamformed data contrary to channel data. The objective...... is to improve and obtain a more range independent lateral resolution compared to conventional dynamic receive focusing (DRF) without compromising frame rate. SASB is a two-stage procedure using two separate beamformers. First a set of Bmode image lines using a single focal point in both transmit and receive...... is stored. The second stage applies the focused image lines from the first stage as input data. The SASB method has been investigated using simulations in Field II and by off-line processing of data acquired with a commercial scanner. The performance of SASB with a static image object is compared with DRF...

  18. Accessing High Spatial Resolution in Astronomy Using Interference Methods

    Science.gov (United States)

    Carbonel, Cyril; Grasset, Sébastien; Maysonnave, Jean

    2018-01-01

    In astronomy, methods such as direct imaging or interferometry-based techniques (Michelson stellar interferometry for example) are used for observations. A particular advantage of interferometry is that it permits greater spatial resolution compared to direct imaging with a single telescope, which is limited by diffraction owing to the aperture of…

  19. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  20. Precision Geodesy via Radio Interferometry.

    Science.gov (United States)

    Hinteregger, H F; Shapiro, I I; Robertson, D S; Knight, C A; Ergas, R A; Whitney, A R; Rogers, A E; Moran, J M; Clark, T A; Burke, B F

    1972-10-27

    Very-long-baseline interferometry experiments, involving observations of extragalactic radio sources, were performed in 1969 to determine the vector separations between antenna sites in Massachusetts and West Virginia. The 845.130-kilometer baseline was estimated from two separate experiments. The results agreed with each other to within 2 meters in all three components and with a special geodetic survey to within 2 meters in length; the differences in baseline direction as determined by the survey and by interferometry corresponded to discrepancies of about 5 meters. The experiments also yielded positions for nine extragalactic radio sources, most to within 1 arc second, and allowed the hydrogen maser clocks at the two sites to be synchronized a posteriori with an uncertainty of only a few nanoseconds.

  1. Growth Of Organic Semiconductor Thin Films with Multi-Micron Domain Size and Fabrication of Organic Transistors Using a Stencil Nanosieve.

    Science.gov (United States)

    Fesenko, Pavlo; Flauraud, Valentin; Xie, Shenqi; Kang, Enpu; Uemura, Takafumi; Brugger, Jürgen; Genoe, Jan; Heremans, Paul; Rolin, Cédric

    2017-07-19

    To grow small molecule semiconductor thin films with domain size larger than modern-day device sizes, we evaporate the material through a dense array of small apertures, called a stencil nanosieve. The aperture size of 0.5 μm results in low nucleation density, whereas the aperture-to-aperture distance of 0.5 μm provides sufficient crosstalk between neighboring apertures through the diffusion of adsorbed molecules. By integrating the nanosieve in the channel area of a thin-film transistor mask, we show a route for patterning both the organic semiconductor and the metal contacts of thin-film transistors using one mask only and without mask realignment.

  2. Filled aperture concepts for the Terrestrial Planet Finder

    Science.gov (United States)

    Ridgway, Stephen T.

    2003-02-01

    Filled aperture telescopes can deliver a real, high Strehl image which is well suited for discrimination of faint planets in the vicinity of bright stars and against an extended exo-zodiacal light. A filled aperture offers a rich variety of PSF control and diffraction suppression techniques. Filled apertures are under consideration for a wide spectral range, including visible and thermal-IR, each of which offers a significant selection of biomarker molecular bands. A filled aperture visible TPF may be simpler in several respects than a thermal-IR nuller. The required aperture size (or baseline) is much smaller, and no cryogenic systems are required. A filled aperture TPF would look and act like a normal telescope - vendors and users alike would be comfortable with its design and operation. Filled aperture telescopes pose significant challenges in production of large primary mirrors, and in very stringent wavefront requirements. Stability of the wavefront control, and hence of the PSF, is a major issue for filled aperture systems. Several groups have concluded that these and other issues can be resolved, and that filled aperture options are competitive for a TPF precursor and/or for the full TPF mission. Ball, Boeing-SVS and TRW have recently returned architecture reviews on filled aperture TPF concepts. In this paper, I will review some of the major considerations underlying these filled aperture concepts, and suggest key issues in a TPF Buyers Guide.

  3. Development of Speckle Interferometry Algorithm and System

    International Nuclear Information System (INIS)

    Shamsir, A. A. M.; Jafri, M. Z. M.; Lim, H. S.

    2011-01-01

    Electronic speckle pattern interferometry (ESPI) method is a wholefield, non destructive measurement method widely used in the industries such as detection of defects on metal bodies, detection of defects in intergrated circuits in digital electronics components and in the preservation of priceless artwork. In this research field, this method is widely used to develop algorithms and to develop a new laboratory setup for implementing the speckle pattern interferometry. In speckle interferometry, an optically rough test surface is illuminated with an expanded laser beam creating a laser speckle pattern in the space surrounding the illuminated region. The speckle pattern is optically mixed with a second coherent light field that is either another speckle pattern or a smooth light field. This produces an interferometric speckle pattern that will be detected by sensor to count the change of the speckle pattern due to force given. In this project, an experimental setup of ESPI is proposed to analyze a stainless steel plate using 632.8 nm (red) wavelength of lights.

  4. Isotope Analysis of Uranium by Interferometry; Analyse isotopique de l'uranium par interferometrie

    Energy Technology Data Exchange (ETDEWEB)

    Leicknam, J P [Commissariat a l' Energie Atomique. Centre d' Etudes Nucleaires de Saclay, 91 - Gif-sur-Yvette (France)

    1962-07-01

    Among the optical methods which may be used to make isotopic measurements of {sup 235}U interferometry gives promising results. An apparatus is described which has a photomultiplier as receiver; the source must therefore have characteristics (intensity, stability, fineness of emitted rays) which have led to the use of electrode-less discharge tubes whose methods of production and excitation are given. An example of calibration is given. (author) [French] Parmi les methodes optiques permettant le dosage isotopique de l'uranium 235, l'interferometrie est une technique qui donne des resultats prometteurs. On decrit ici un appareil ayant un photo-multiplicateur comme recepteur; la source doit donc avoir des caracteristiques (intensite, stabilite, finesse des raies emises) qui ont conduit a utiliser des tubes a decharge sans electrode dont on indique la fabrication et le mode d'excitation. Un exemple d'etalonnage est enfin donne. (auteur)

  5. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  6. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  7. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  8. Measurements of pore-scale flow through apertures

    Energy Technology Data Exchange (ETDEWEB)

    Chojnicki, Kirsten [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-09-01

    Pore-scale aperture effects on flow in pore networks was studied in the laboratory to provide a parameterization for use in transport models. Four cases were considered: regular and irregular pillar/pore alignment with and without an aperture. The velocity field of each case was measured and simulated, providing quantitatively comparable results. Two aperture effect parameterizations were considered: permeability and transmission. Permeability values varied by an order of magnitude between the cases with and without apertures. However, transmission did not correlate with permeability. Despite having much greater permeability the regular aperture case permitted less transmission than the regular case. Moreover, both irregular cases had greater transmission than the regular cases, a difference not supported by the permeabilities. Overall, these findings suggest that pore-scale aperture effects on flow though a pore-network may not be adequately captured by properties such as permeability for applications that are interested in determining particle transport volume and timing.

  9. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  10. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  11. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  12. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  13. Parsimonious Refraction Interferometry and Tomography

    KAUST Repository

    Hanafy, Sherif; Schuster, Gerard T.

    2017-01-01

    We present parsimonious refraction interferometry and tomography where a densely populated refraction data set can be obtained from two reciprocal and several infill shot gathers. The assumptions are that the refraction arrivals are head waves

  14. The D18 diffractometer for neutron interferometry at the I.L.L

    International Nuclear Information System (INIS)

    Bauspiess, W.

    1978-01-01

    Three things are needed for neutron interferometry: an interferometer (a crystal in the case of Bragg diffraction interferometry), a neutron source, and a device to select and handle the neutrons that shall be used. It is this last technical aspect of neutron interferometry which is discussed in the paper, using as an example the new diffractometer for neutron interferometry that is being built at the I.L.L. Results of performance tests are not presently available but its characteristics are visible from the design. The experimental figures given in the paper refer to experiments performed with the prototype machine, or are extrapolated from said experiments

  15. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  16. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  17. From master slave interferometry to complex master slave interferometry: theoretical work

    Science.gov (United States)

    Rivet, Sylvain; Bradu, Adrian; Maria, Michael; Feuchter, Thomas; Leick, Lasse; Podoleanu, Adrian

    2018-03-01

    A general theoretical framework is described to obtain the advantages and the drawbacks of two novel Fourier Domain Optical Coherence Tomography (OCT) methods denoted as Master/Slave Interferometry (MSI) and its extension denoted as Complex Master/Slave Interferometry (CMSI). Instead of linearizing the digital data representing the channeled spectrum before a Fourier transform can be applied to it (as in OCT standard methods), channeled spectrum is decomposed on the basis of local oscillations. This replaces the need for linearization, generally time consuming, before any calculation of the depth profile in the range of interest. In this model two functions, g and h, are introduced. The function g describes the modulation chirp of the channeled spectrum signal due to nonlinearities in the decoding process from wavenumber to time. The function h describes the dispersion in the interferometer. The utilization of these two functions brings two major improvements to previous implementations of the MSI method. The paper details the steps to obtain the functions g and h, and represents the CMSI in a matrix formulation that enables to implement easily this method in LabVIEW by using parallel programming with multi-cores.

  18. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  19. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  20. Calibration of the TUD Ku-band Synthetic Aperture Radiometer

    DEFF Research Database (Denmark)

    Laursen, Brian; Skou, Niels

    1995-01-01

    The TUD Synthetic Aperture Radiometer is a 2-channel demonstration model that can simulate a thinned aperture radiometer having an unfilled aperture consisting of several small antenna elements. Aperture synthesis obtained by interferometric measurements using the antenna elements in pairs, follo...

  1. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  2. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  3. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  4. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  5. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  6. Global astrometry with the space interferometry mission

    Science.gov (United States)

    Boden, A.; Unwin, S.; Shao, M.

    1997-01-01

    The prospects for global astrometric measurements with the space interferometry mission (SIM) are discussed. The SIM mission will perform four microarcsec astrometric measurements on objects as faint as 20 mag using the optical interferometry technique with a 10 m baseline. The SIM satellite will perform narrow angle astrometry and global astrometry by means of an astrometric grid. The sensitivities of the SIM global astrometric performance and the grid accuracy versus instrumental parameters and sky coverage schemes are reported on. The problems in finding suitable astrometric grid objects to support microarcsec astrometry, and related ground-based observation programs are discussed.

  7. Interferometry correlations in central p+Pb collisions

    Science.gov (United States)

    Bożek, Piotr; Bysiak, Sebastian

    2018-01-01

    We present results on interferometry correlations for pions emitted in central p+Pb collisions at √{s_{NN}}=5.02 TeV in a 3+1-dimensional viscous hydrodynamic model with initial conditions from the Glauber Monte Carlo model. The correlation function is calculated as a function of the pion pair rapidity. The extracted interferometry radii show a weak rapidity dependence, reflecting the lack of boost invariance of the pion distribution. A cross term between the out and long directions is found to be nonzero. The results obtained in the hydrodynamic model are in fair agreement with recent data of the ATLAS Collaboration.

  8. Beam aperture modifier design with acoustic metasurfaces

    Science.gov (United States)

    Tang, Weipeng; Ren, Chunyu

    2017-10-01

    In this paper, we present a design concept of acoustic beam aperture modifier using two metasurface-based planar lenses. By appropriately designing the phase gradient profile along the metasurface, we obtain a class of acoustic convex lenses and concave lenses, which can focus the incoming plane waves and collimate the converging waves, respectively. On the basis of the high converging and diverging capability of these lenses, two kinds of lens combination scheme, including the convex-concave type and convex-convex type, are proposed to tune up the incoming beam aperture as needed. To be specific, the aperture of the acoustic beam can be shrunk or expanded through adjusting the phase gradient of the pair of lenses and the spacing between them. These lenses and the corresponding aperture modifiers are constructed by the stacking ultrathin labyrinthine structures, which are obtained by the geometry optimization procedure and exhibit high transmission coefficient and a full range of phase shift. The simulation results demonstrate the effectiveness of our proposed beam aperture modifiers. Due to the flexibility in aperture controlling and the simplicity in fabrication, the proposed modifiers have promising potential in applications, such as acoustic imaging, nondestructive evaluation, and communication.

  9. Analytic approximations for inside-outside interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Padula, S.S.; Gyulassy, M. (Lawrence Berkeley Lab., CA (USA). Nuclear Science Div.)

    1990-07-30

    Analytical expressions for pion interferometry are derived illustrating the competing effects of various non-ideal aspects of inside-outside cascade dynamics at energies {proportional to}200 AGeV. (orig.).

  10. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  11. Ion mobility spectrometer with virtual aperture grid

    Science.gov (United States)

    Pfeifer, Kent B.; Rumpf, Arthur N.

    2010-11-23

    An ion mobility spectrometer does not require a physical aperture grid to prevent premature ion detector response. The last electrodes adjacent to the ion collector (typically the last four or five) have an electrode pitch that is less than the width of the ion swarm and each of the adjacent electrodes is connected to a source of free charge, thereby providing a virtual aperture grid at the end of the drift region that shields the ion collector from the mirror current of the approaching ion swarm. The virtual aperture grid is less complex in assembly and function and is less sensitive to vibrations than the physical aperture grid.

  12. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  13. APPLICATION OF EQUIPMENT FOR AUTOMATIC CONTROL OF PLANAR STRUCTURES IN MANUFACTURING MASTER MASKS OF INTEGRATED CIRCUITS ON PHOTO-MASKS

    Directory of Open Access Journals (Sweden)

    S. M. Avakov

    2007-01-01

    Full Text Available Following the concept of defect-free manufacturing of master masks of IC on photo-masks, two Belarusian sets of optomechanical equipment for 0,3 5 p and 90 nanometers are presented in the paper. Each of the sets comprises:   • Multi-channel laser pattern generator; • Automatic mask defect inspection system; • Laser-based mask defect repair system.The paper contains description of automatic mask defect inspection process during photo-mask manufacturing and respective basic technological operations of the processes.Advantages of a complex approach to the development of a set of opto-mechanical equipment for defect-free manufacturing of photo-masks have been analyzed in the paper. 

  14. New formulation for interferometric synthetic aperture radar for terrain mapping

    Science.gov (United States)

    Jakowatz, Charles V., Jr.; Wahl, Daniel E.; Eichel, Paul H.; Thompson, Paul A.

    1994-06-01

    The subject of interferometric synthetic aperture radar (IFSAR) for high-accuracy terrain elevation mapping continues to gain importance in the arena of radar signal processing. Applications to problems in precision terrain-aided guidance and automatic target recognition, as well as a variety of civil applications, are being studied by a number of researchers. Not unlike many other areas of SAR processing, the subject of IFSAR can, at first glance, appear to be somewhat mysterious. In this paper we show how the mathematics of IFSAR for terrain elevation mapping using a pair of spotlight mode SAR collections can be derived in a very straightforward manner. Here, we employ an approach that relies entirely on Fourier transforms, and utilizes no reference to range equations or Doppler concepts. The result is a simplified explanation of the fundamentals of interferometry, including an easily-seen link between image domain phase difference and terrain elevation height. The derivation builds upon previous work by the authors in which a framework for spotlight mode SAR image formation based on an analogy to 3D computerized axial tomography (CAT) was developed. After outlining the major steps in the mathematics, we show how a computer simulator which utilizes 3D Fourier transforms can be constructed that demonstrates all of the major aspects of IFSAR from spotlight mode collections.

  15. A publication database for optical long baseline interferometry

    Science.gov (United States)

    Malbet, Fabien; Mella, Guillaume; Lawson, Peter; Taillifet, Esther; Lafrasse, Sylvain

    2010-07-01

    Optical long baseline interferometry is a technique that has generated almost 850 refereed papers to date. The targets span a large variety of objects from planetary systems to extragalactic studies and all branches of stellar physics. We have created a database hosted by the JMMC and connected to the Optical Long Baseline Interferometry Newsletter (OLBIN) web site using MySQL and a collection of XML or PHP scripts in order to store and classify these publications. Each entry is defined by its ADS bibcode, includes basic ADS informations and metadata. The metadata are specified by tags sorted in categories: interferometric facilities, instrumentation, wavelength of operation, spectral resolution, type of measurement, target type, and paper category, for example. The whole OLBIN publication list has been processed and we present how the database is organized and can be accessed. We use this tool to generate statistical plots of interest for the community in optical long baseline interferometry.

  16. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    Science.gov (United States)

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  17. Mask Phenomenon in Communication

    Institute of Scientific and Technical Information of China (English)

    郎丽璇

    2013-01-01

    People sometimes wear masks. Abusive expression may be used to convey love while polite words can be exchanged among enemies. This essay describes and discusses this special phenomenon in communication and analyzes the elements that con-tribute to the success of a mask communication.

  18. The Moody Mask Model

    DEFF Research Database (Denmark)

    Larsen, Bjarke Alexander; Andkjær, Kasper Ingdahl; Schoenau-Fog, Henrik

    2015-01-01

    This paper proposes a new relation model, called "The Moody Mask model", for Interactive Digital Storytelling (IDS), based on Franceso Osborne's "Mask Model" from 2011. This, mixed with some elements from Chris Crawford's Personality Models, is a system designed for dynamic interaction between ch...

  19. Atom Interferometry for Fundamental Physics and Gravity Measurements in Space

    Science.gov (United States)

    Kohel, James M.

    2012-01-01

    Laser-cooled atoms are used as freefall test masses. The gravitational acceleration on atoms is measured by atom-wave interferometry. The fundamental concept behind atom interferometry is the quantum mechanical particle-wave duality. One can exploit the wave-like nature of atoms to construct an atom interferometer based on matter waves analogous to laser interferometers.

  20. Brightness masking is modulated by disparity structure.

    Science.gov (United States)

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  1. Gilded Silver Mask

    Institute of Scientific and Technical Information of China (English)

    1998-01-01

    This gilded silver mask from the Liao Dynasty is 31 cm long and 22.2 cm wide. The plump oval face was designed with a protruding brow ridge, narrow eyes, high-bridged nose and closed mouth. The chin is slightly round against a thin neck, the ears are long and the hair can be clearly seen from the finely carved lines. The use of masks was recorded as

  2. Secondary Fault Activity of the North Anatolian Fault near Avcilar, Southwest of Istanbul: Evidence from SAR Interferometry Observations

    Directory of Open Access Journals (Sweden)

    Faqi Diao

    2016-10-01

    Full Text Available Strike-slip faults may be traced along thousands of kilometers, e.g., the San Andreas Fault (USA or the North Anatolian Fault (Turkey. A closer look at such continental-scale strike faults reveals localized complexities in fault geometry, associated with fault segmentation, secondary faults and a change of related hazards. The North Anatolian Fault displays such complexities nearby the mega city Istanbul, which is a place where earthquake risks are high, but secondary processes are not well understood. In this paper, long-term persistent scatterer interferometry (PSI analysis of synthetic aperture radar (SAR data time series was used to precisely identify the surface deformation pattern associated with the faulting complexity at the prominent bend of the North Anatolian Fault near Istanbul city. We elaborate the relevance of local faulting activity and estimate the fault status (slip rate and locking depth for the first time using satellite SAR interferometry (InSAR technology. The studied NW-SE-oriented fault on land is subject to strike-slip movement at a mean slip rate of ~5.0 mm/year and a shallow locking depth of <1.0 km and thought to be directly interacting with the main fault branch, with important implications for tectonic coupling. Our results provide the first geodetic evidence on the segmentation of a major crustal fault with a structural complexity and associated multi-hazards near the inhabited regions of Istanbul, with similarities also to other major strike-slip faults that display changes in fault traces and mechanisms.

  3. Imaging with rotating slit apertures and rotating collimators

    International Nuclear Information System (INIS)

    Gindi, G.R.; Arendt, J.; Barrett, H.H.; Chiu, M.Y.; Ervin, A.; Giles, C.L.; Kujoory, M.A.; Miller, E.L.; Simpson, R.G.

    1982-01-01

    The statistical quality of conventional nuclear medical imagery is limited by the small signal collect through low-efficiency conventional apertures. Coded-aperture imaging overcomes this by employing a two-step process in which the object is first efficiently detected as an ''encoded'' form which does not resemble the object, and then filtered (or ''decoded'') to form an image. We present here the imaging properties of a class of time-modulated coded apertures which, unlike most coded apertures, encode projections of the object rather than the object itself. These coded apertures can reconstruct a volume object nontomographically, tomographically (one plane focused), or three-dimensionally. We describe a new decoding algorithm that reconstructs the object from its planar projections. Results of noise calculations are given, and the noise performance of these coded-aperture systems is compared to that of conventional counterparts. A hybrid slit-pinhole system which combines the imaging advantages of a rotating slit and a pinhole is described. A new scintillation detector which accurately measures the position of an event in one dimension only is presented, and its use in our coded-aperture system is outlined. Finally, results of imaging test objects and animals are given

  4. Imaging with rotating slit apertures and rotating collimators

    International Nuclear Information System (INIS)

    Gindi, G.R.; Arendt, J.; Barrett, H.H.; Chiu, M.Y.; Ervin, A.; Giles, C.L.; Kujoory, M.A.; Miller, E.L.; Simpson, R.G.

    1982-01-01

    The statistical quality of conventional nuclear medical imagery is limited by the small signal collected through low-efficiency conventional apertures. Coded-aperture imaging overcomes this by employing a two-step process in which the object is first efficiently detected as an encoded form which does not resemble the object, and then filtered (or decoded) to form an image. We present here the imaging properties of a class of time-modulated coded apertures which, unlike most coded apertures, encode projections of the object rather than the object itself. These coded apertures can reconstruct a volume object nontomographically, tomographically (one plane focused), or three-dimensionally. We describe a new decoding algorithm that reconstructs the object from its planar projections. Results of noise calculations are given, and the noise performance of these coded-aperture systems is compared to that of conventional counterparts. A hybrid slit-pinhole system which combines the imaging advantages of a rotating slit and a pinhole is described. A new scintillation detector which accurately measures the position of an event in one dimension only is presented, and its use in our coded-aperture system is outlined. Finally, results of imaging test objects and animals are given

  5. Shadows alter facial expressions of Noh masks.

    Directory of Open Access Journals (Sweden)

    Nobuyuki Kawai

    Full Text Available BACKGROUND: A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. METHODOLOGY/PRINCIPAL FINDINGS: In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. CONCLUSIONS/SIGNIFICANCE: Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety", which highly appreciates subtle emotional expressions in the darkness.

  6. Detecting Faults in Southern California using Computer-Vision Techniques and Uninhabited Aerial Vehicle Synthetic Aperture Radar (UAVSAR) Interferometry

    Science.gov (United States)

    Barba, M.; Rains, C.; von Dassow, W.; Parker, J. W.; Glasscoe, M. T.

    2013-12-01

    Knowing the location and behavior of active faults is essential for earthquake hazard assessment and disaster response. In Interferometric Synthetic Aperture Radar (InSAR) images, faults are revealed as linear discontinuities. Currently, interferograms are manually inspected to locate faults. During the summer of 2013, the NASA-JPL DEVELOP California Disasters team contributed to the development of a method to expedite fault detection in California using remote-sensing technology. The team utilized InSAR images created from polarimetric L-band data from NASA's Uninhabited Aerial Vehicle Synthetic Aperture Radar (UAVSAR) project. A computer-vision technique known as 'edge-detection' was used to automate the fault-identification process. We tested and refined an edge-detection algorithm under development through NASA's Earthquake Data Enhanced Cyber-Infrastructure for Disaster Evaluation and Response (E-DECIDER) project. To optimize the algorithm we used both UAVSAR interferograms and synthetic interferograms generated through Disloc, a web-based modeling program available through NASA's QuakeSim project. The edge-detection algorithm detected seismic, aseismic, and co-seismic slip along faults that were identified and compared with databases of known fault systems. Our optimization process was the first step toward integration of the edge-detection code into E-DECIDER to provide decision support for earthquake preparation and disaster management. E-DECIDER partners that will use the edge-detection code include the California Earthquake Clearinghouse and the US Department of Homeland Security through delivery of products using the Unified Incident Command and Decision Support (UICDS) service. Through these partnerships, researchers, earthquake disaster response teams, and policy-makers will be able to use this new methodology to examine the details of ground and fault motions for moderate to large earthquakes. Following an earthquake, the newly discovered faults can

  7. Resolving power test of 2-D K{sup +} K{sup +} interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Padula, Sandra S.; Roldao, Christiane G. [Instituto de Fisica Teorica (IFT), Sao Paulo, SP (Brazil)

    1999-07-01

    Adopting a procedure previously proposed to quantitatively study pion interferometry {sup 1} , an equivalent 2-D X{sup 2} analysis was performed to test the resolving power of that method when applied to less favorable conditions, when no significant contribution from long lived resonances is expected, as in kaon interferometry. For that purpose, use is made of the preliminary E859 K{sup +}K{sup +} interferometry data from Si+Au collisions at 14.6 A GeV/c. Less sensitivity is achieved in the present case, although it is shown that it is still possible to distinguish two distinct decoupling geometries. (author)

  8. Are Masking-Based Models of Risk Useful?

    Science.gov (United States)

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  9. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    OpenAIRE

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia.METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a ra...

  10. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    Science.gov (United States)

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  11. Mask materials in powderblasting

    NARCIS (Netherlands)

    Wensink, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    1999-01-01

    Powderblasting has the opportunity to become a standard technology in micromachining. To machine small details with powderbalsting, it is necessary to use a suiabled mask. In this paper four mask types ares examined. BF400 resist foil is most suitable for standard use in powderblasting for reason of

  12. Interferometry correlations in central p+Pb collisions

    Energy Technology Data Exchange (ETDEWEB)

    Bozek, Piotr; Bysiak, Sebastian [Faculty of Physics and Applied Computer Science, AGH University of Science and Technology, Krakow (Poland)

    2018-01-15

    We present results on interferometry correlations for pions emitted in central p+Pb collisions at √(s{sub NN}) = 5.02 TeV in a 3 + 1-dimensional viscous hydrodynamic model with initial conditions from the Glauber Monte Carlo model. The correlation function is calculated as a function of the pion pair rapidity. The extracted interferometry radii show a weak rapidity dependence, reflecting the lack of boost invariance of the pion distribution. A cross term between the out and long directions is found to be nonzero. The results obtained in the hydrodynamic model are in fair agreement with recent data of the ATLAS Collaboration. (orig.)

  13. X-ray Talbot interferometry with capillary plates

    International Nuclear Information System (INIS)

    Momose, Atsushi; Kawamoto, Shinya

    2006-01-01

    An X-ray Talbot interferometer consisting of two capillary plates, which were used as X-ray amplitude gratings, was evaluated for X-ray phase imaging. A theoretical aspect of capillary X-ray Talbot interferometry is presented with a preliminary operation result using synchrotron radiation. A two-dimensional X-ray Talbot effect, or self-imaging effect, which was the basis of Talbot interferometry, was observed with the capillary plate, and moire images formed by the X-ray Talbot interferometer exhibited contrasts corresponding to the differential phase shift caused by phase objects placed in front of the interferometer. Finally, the possibility of quantitative phase measurement with a fringe scanning technique is discussed. (author)

  14. Microfabricated high-bandpass foucault aperture for electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Glaeser, Robert; Cambie, Rossana; Jin, Jian

    2014-08-26

    A variant of the Foucault (knife-edge) aperture is disclosed that is designed to provide single-sideband (SSB) contrast at low spatial frequencies but retain conventional double-sideband (DSB) contrast at high spatial frequencies in transmission electron microscopy. The aperture includes a plate with an inner open area, a support extending from the plate at an edge of the open area, a half-circle feature mounted on the support and located at the center of the aperture open area. The radius of the half-circle portion of reciprocal space that is blocked by the aperture can be varied to suit the needs of electron microscopy investigation. The aperture is fabricated from conductive material which is preferably non-oxidizing, such as gold, for example.

  15. Interferometry of high energy nuclear collisions

    International Nuclear Information System (INIS)

    Padula, S.S.

    1990-01-01

    The interferometry is used for determining large space time dimensions of the Quark Gluon Plasma formed in high energy nuclear collisions or in high multiplicity fluctuations in p-barp collisions. (M.C.K.)

  16. Electromagnetic interferometry in wavenumber and space domains in a layered earth

    NARCIS (Netherlands)

    Hunziker, J.W.; Slob, E.C.; Fan, Y.; Snieder, R.; Wapenaar, C.P.A.

    2013-01-01

    With interferometry applied to controlled-source electromagnetic data, the direct field and the airwave and all other effects related to the air-water interface can be suppressed in a data-driven way. Interferometry allows for retreival of the scattered field Green’s function of the subsurface or,

  17. A masking index for quantifying hidden glitches

    OpenAIRE

    Berti-Equille, Laure; Loh, J. M.; Dasu, T.

    2015-01-01

    Data glitches are errors in a dataset. They are complex entities that often span multiple attributes and records. When they co-occur in data, the presence of one type of glitch can hinder the detection of another type of glitch. This phenomenon is called masking. In this paper, we define two important types of masking and propose a novel, statistically rigorous indicator called masking index for quantifying the hidden glitches. We outline four cases of masking: outliers masked by missing valu...

  18. Shadows Alter Facial Expressions of Noh Masks

    Science.gov (United States)

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  19. Laser Micromachining and Information Discovery Using a Dual Beam Interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Theppakuttaikomaraswamy, Senthil P. [Iowa State Univ., Ames, IA (United States)

    2001-01-01

    Lasers have proven to be among the most promising tools for micromachining because they can process features down to the size of the laser wavelength (smaller than 1 micrometer) and they provide a non-contact technology for machining. The demand for incorporating in-situ diagnostics technology into the micromachining environment is driven by the increasing need for producing micro-parts of high quality and accuracy. Laser interferometry can be used as an on-line monitoring tool and it is the aim of this work to enhance the understanding and application of Michelson interferometry principle for the in-situ diagnostics of the machining depth on the sub-micron and micron scales. micromachining is done on two different materials and a comprehensive investigation is done to control the width and depth of the machined feature. To control the width of the feature, laser micromachining is done on copper and a detailed analysis is performed. The objective of this experiment is to make a precision mask for sputtering with an array of holes on it using an Nd:YAG laser of 532 nm wavelength. The diameter of the hole is 50 μm and the spacing between holes (the distance between the centers) is 100 μm. Michelson interferometer is integrated with a laser machining system to control the depth of machining. An excimer laser of 308 nm wavelength is used for micromachining. A He-Ne laser of 632.8 nm wavelength is used as the light source for the interferometer. Interference patterns are created due to the change in the path length between the two interferometer arms. The machined depth information is obtained from the interference patterns on an oscilloscope detected by a photodiode. To compare the predicted depth by the interferometer with the true machining depth, a surface profilometer is used to measure the actual machining depth on the silicon. It is observed that the depths of machining obtained by the surface profile measurement are in accordance with the interferometer

  20. Geohazard monitoring and modelling using Persistent Scatterer Interferometry in the framework of the European project Terrafirma

    Science.gov (United States)

    Cooksley, Geraint; Arnaud, Alain; Banwell, Marie-Josée

    2013-04-01

    Increasingly, geohazard risk managers are looking to satellite observations as a promising option for supporting their risk management and mitigation strategies. The Terrafirma project, aimed at supporting civil protection agencies, local authorities in charge of risk assessment and mitigation is a pan-European ground motion information service funded by the European Space Agency's Global Monitoring for Environment and Security initiative. Over 100 services were delivered to organizations over the last ten years. Terrafirma promotes the use of Synthetic Aperture Radar Interferometry (InSAR) and Persistent Scatterer InSAR (PSI) within three thematic areas for terrain motion analysis: Tectonics, Flooding and Hydrogeology (ground water, landslides and inactive mines), as well as the innovative Wide Area mapping service, aimed at measuring land deformation over very large areas. Terrafirma's thematic services are based on advanced satellite interferometry products; however they exploit additional data sources, including non-EO, coupled with expert interpretation specific to each thematic line. Based on the combination of satellite-derived ground-motion information products with expert motion interpretation, a portfolio of services addressing geo-hazard land motion issues was made available to users. Although not a thematic in itself, the Wide Area mapping product constitutes the fourth quarter of the Terrafirma activities. The wide area processing chain is nearly fully automatic and requires only a little operator interaction. The service offers an operational PSI processing for wide-area mapping with mm accuracy of ground-deformation measurement at a scale of 1:250,000 (i.e. one cm in the map corresponds to 2.5 Km on the ground) on a country or continent level. The WAP was demonstrated using stripmap ERS data however it is foreseen to be a standard for the upcoming Sentinel-1 mission that will be operated in Terrain Observation by Progressive Scan (TOPS) mode. Within

  1. Beam focusing by aperture displacement in multiampere ion sources

    International Nuclear Information System (INIS)

    Stewart, L.D.; Kim, J.; Matsuda, S.

    1975-05-01

    Results are given of an experimental study of beam focusing by aperture displacement (Δx) in duoPIGatron ion sources. Measurements with a single aperture, accel-decel electrode geometry show that the beam deflection angle is linear with Δx/z for the round aperture and with Δx/z* 2 for the slit aperture where z and z* are respectively the extraction gap distance and the effective gap distance. Applying the result of the single aperture study to the multiaperture, duoPIGatron sources, it was possible to increase the neutral beam injection power to the ORMAK plasma by approximately 40 percent. Also presented are discussion and comparison of other work on the effect of aperture displacement on beam deflection. (U.S.)

  2. 21 CFR 868.5570 - Nonrebreathing mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  3. 37 CFR 211.3 - Mask work fees.

    Science.gov (United States)

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  4. Fundamental physics research and neutron interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Ioffe, A. [Hahn-Meitner-Institut Berlin GmbH (Germany)

    1996-08-01

    The possibility of the use of an extremely sensitive neutron interferometry technique for the study of electromagnetic structure of the neutron and the parity non-conservative effects in neutron spin rotation is discussed. (author)

  5. Complete positivity and neutron interferometry

    International Nuclear Information System (INIS)

    Benatti, F.; Floreanini, R.

    1999-01-01

    We analyze the dynamics of neutron beams in interferometry experiments using quantum dynamical semigroups. We show that these experiments could provide stringent limits on the non-standard, dissipative terms appearing in the extended evolution equations. (Copyright (c) 1999 Elsevier Science B.V., Amsterdam. All rights reserved.)

  6. Orientation tuning of contrast masking caused by motion streaks.

    Science.gov (United States)

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  7. A review of recent work in sub-nanometre displacement measurement using optical and X-ray interferometry.

    Science.gov (United States)

    Peggs, G N; Yacoot, A

    2002-05-15

    This paper reviews recent work in the field of displacement measurement using optical and X-ray interferometry at the sub-nanometre level of accuracy. The major sources of uncertainty in optical interferometry are discussed and a selection of recent designs of ultra-precise, optical-interferometer-based, displacement measuring transducers presented. The use of X-ray interferometry and its combination with optical interferometry is discussed.

  8. 21 CFR 868.5590 - Scavenging mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  9. 21 CFR 868.5600 - Venturi mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  10. Field measurements for low-aperture magnetic elements

    International Nuclear Information System (INIS)

    Mikhajlichenko, A.A.

    1989-01-01

    The method of the field measurements with help of bismuth wire in low aperture magnetic elements is revised. The quadrupole with permanent magnets was tested. It has aperture diameter about 4 mm and length 40 mm. Gradient about 38 kOe/cm was measured. The accuracy of the magnetic axis position definition is better than 1 μm. This method is a good kandidate for linear colider low aperture magnetic elements measurements. 7 refs.; 6 figs

  11. Fast decoding algorithms for geometric coded apertures

    International Nuclear Information System (INIS)

    Byard, Kevin

    2015-01-01

    Fast decoding algorithms are described for the class of coded aperture designs known as geometric coded apertures which were introduced by Gourlay and Stephen. When compared to the direct decoding method, the algorithms significantly reduce the number of calculations required when performing the decoding for these apertures and hence speed up the decoding process. Experimental tests confirm the efficacy of these fast algorithms, demonstrating a speed up of approximately two to three orders of magnitude over direct decoding.

  12. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  13. 21 CFR 868.5580 - Oxygen mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device...

  14. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  15. Simulation-based MDP verification for leading-edge masks

    Science.gov (United States)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  16. Dual aperture dipole magnet with second harmonic component

    Science.gov (United States)

    Praeg, Walter F.

    1985-01-01

    An improved dual aperture dipole electromagnet includes a second-harmonic frequency magnetic guide field winding which surrounds first harmonic frequency magnetic guide field windings associated with each aperture. The second harmonic winding and the first harmonic windings cooperate to produce resultant magnetic waveforms in the apertures which have extended acceleration and shortened reset portions of electromagnet operation.

  17. 47 CFR 25.134 - Licensing provisions of Very Small Aperture Terminal (VSAT) and C-band Small Aperture Terminal...

    Science.gov (United States)

    2010-10-01

    ... 47 Telecommunication 2 2010-10-01 2010-10-01 false Licensing provisions of Very Small Aperture Terminal (VSAT) and C-band Small Aperture Terminal (CSAT) networks. 25.134 Section 25.134 Telecommunication FEDERAL COMMUNICATIONS COMMISSION (CONTINUED) COMMON CARRIER SERVICES SATELLITE COMMUNICATIONS...

  18. Individuals and Their Masks

    Directory of Open Access Journals (Sweden)

    Belén Altuna

    2009-08-01

    Full Text Available This essay works on the opposition between face and mask, where ‘face’ is understood as that which makes every human being singular, and makes visible her or his unique worth, while ‘mask’ is understood as whatever hides that singularity, and refers to a category, stereotype or cliché. The etymological history that relates face and mask to the concept of person, and the history of modern portrait painting, which alternates representations of face and mask, both lead to a discussion with authors who diagnose a contemporary “defeat of the face” as a result of the crisis of humanism and of ethical individualism, which give meaning and dignity to that face.

  19. Image differencing using masked CCD

    International Nuclear Information System (INIS)

    Rushbrooke, J.G.; Ansorge, R.E.; Webber, C.J. St. J.

    1987-01-01

    A charge coupled device has some of its ''pixels'' masked by a material which is opaque to the radiation to which the device is to be exposed, each masked region being employed as a storage zone into which the charge pattern from the unmasked pixels can be transferred to enable a subsequent charge pattern to be established on further exposure of the unmasked pixels. The components of the resulting video signal corresponding to the respective charge patterns read-out from the CCD are subtracted to produce a video signal corresponding to the difference between the two images which formed the respective charge patterns. Alternate rows of pixels may be masked, or chequer-board pattern masking may be employed. In an X-ray imaging system the CCD is coupled to image intensifying and converting means. (author)

  20. Space Interferometry Mission Instrument Mechanical Layout

    Science.gov (United States)

    Aaron, K.; Stubbs, D.; Kroening, K.

    2000-01-01

    The Space Interferometry Mission, planned for launch in 2006, will measure the positions of celestial objects to an unprecedented accuracy of 4x10 to the power of negative six arc (about 1 billionth of a degree).

  1. Evaluation of a new pediatric positive airway pressure mask.

    Science.gov (United States)

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  2. Sinusoidal masks for single channel speech separation

    DEFF Research Database (Denmark)

    Mowlaee, Pejman; Christensen, Mads Græsbøll; Jensen, Søren Holdt

    2010-01-01

    In this paper we present a new approach for binary and soft masks used in single-channel speech separation. We present a novel approach called the sinusoidal mask (binary mask and Wiener filter) in a sinusoidal space. Theoretical analysis is presented for the proposed method, and we show...... that the proposed method is able to minimize the target speech distortion while suppressing the crosstalk to a predetermined threshold. It is observed that compared to the STFTbased masks, the proposed sinusoidal masks improve the separation performance in terms of objective measures (SSNR and PESQ) and are mostly...

  3. Predicting masking release of lateralized speech

    DEFF Research Database (Denmark)

    Chabot-Leclerc, Alexandre; MacDonald, Ewen; Dau, Torsten

    2016-01-01

    . The largest masking release (MR) was observed when all maskers were on the opposite side of the target. The data in the conditions containing only energetic masking and modulation masking could be accounted for using a binaural extension of the speech-based envelope power spectrum model [sEPSM; Jørgensen et...... al., 2013, J. Acoust. Soc. Am. 130], which uses a short-term equalization-cancellation process to model binaural unmasking. In the conditions where informational masking (IM) was involved, the predicted SRTs were lower than the measured values because the model is blind to confusions experienced...

  4. Transport of Particle Swarms Through Variable Aperture Fractures

    Science.gov (United States)

    Boomsma, E.; Pyrak-Nolte, L. J.

    2012-12-01

    Particle transport through fractured rock is a key concern with the increased use of micro- and nano-size particles in consumer products as well as from other activities in the sub- and near surface (e.g. mining, industrial waste, hydraulic fracturing, etc.). While particle transport is often studied as the transport of emulsions or dispersions, particles may also enter the subsurface from leaks or seepage that lead to particle swarms. Swarms are drop-like collections of millions of colloidal-sized particles that exhibit a number of unique characteristics when compared to dispersions and emulsions. Any contaminant or engineered particle that forms a swarm can be transported farther, faster, and more cohesively in fractures than would be expected from a traditional dispersion model. In this study, the effects of several variable aperture fractures on colloidal swarm cohesiveness and evolution were studied as a swarm fell under gravity and interacted with the fracture walls. Transparent acrylic was used to fabricate synthetic fracture samples with (1) a uniform aperture, (2) a converging region followed by a uniform region (funnel shaped), (3) a uniform region followed by a diverging region (inverted funnel), and (4) a cast of a an induced fracture from a carbonate rock. All of the samples consisted of two blocks that measured 100 x 100 x 50 mm. The minimum separation between these blocks determined the nominal aperture (0.5 mm to 20 mm). During experiments a fracture was fully submerged in water and swarms were released into it. The swarms consisted of a dilute suspension of 3 micron polystyrene fluorescent beads (1% by mass) with an initial volume of 5μL. The swarms were illuminated with a green (525 nm) LED array and imaged optically with a CCD camera. The variation in fracture aperture controlled swarm behavior. Diverging apertures caused a sudden loss of confinement that resulted in a rapid change in the swarm's shape as well as a sharp increase in its velocity

  5. Fractal characteristics of fracture roughness and aperture data

    International Nuclear Information System (INIS)

    Kumar, S.; Boernge, J.

    1991-05-01

    In this study mathematical expressions are developed for the characteristics of apertures between rough surfaces. It has shown that the correlation between the opposite surfaces influences the aperture properties and different models are presented for these different surface correlations. Fracture and apertures profiles measured from intact fractures are evaluated and it is found that they qualitatively follow the mathematically predicted trends

  6. Neutron interferometry lessons in experimental quantum mechanics, wave-particle duality, and entanglement

    CERN Document Server

    Rauch, Helmut

    2015-01-01

    The quantum interference of de Broglie matter waves is probably one of the most startling and fundamental aspects of quantum mechanics. It continues to tax our imaginations and leads us to new experimental windows on nature. Quantum interference phenomena are vividly displayed in the wide assembly of neutron interferometry experiments, which have been carried out since the first demonstration of a perfect silicon crystal interferometer in 1974. Since the neutron experiences all four fundamental forces of nature (strong, weak, electromagnetic, and gravitational), interferometry with neutrons provides a fertile testing ground for theory and precision measurements. Many Gedanken experiments of quantum mechanics have become real due to neutron interferometry. Quantum mechanics is a part of physics where experiment and theory are inseparably intertwined. This general theme permeates the second edition of this book. It discusses more than 40 neutron interferometry experiments along with their theoretical motivation...

  7. Kinetic Titration Series with Biolayer Interferometry

    Science.gov (United States)

    Frenzel, Daniel; Willbold, Dieter

    2014-01-01

    Biolayer interferometry is a method to analyze protein interactions in real-time. In this study, we illustrate the usefulness to quantitatively analyze high affinity protein ligand interactions employing a kinetic titration series for characterizing the interactions between two pairs of interaction patterns, in particular immunoglobulin G and protein G B1 as well as scFv IC16 and amyloid beta (1–42). Kinetic titration series are commonly used in surface plasmon resonance and involve sequential injections of analyte over a desired concentration range on a single ligand coated sensor chip without waiting for complete dissociation between the injections. We show that applying this method to biolayer interferometry is straightforward and i) circumvents problems in data evaluation caused by unavoidable sensor differences, ii) saves resources and iii) increases throughput if screening a multitude of different analyte/ligand combinations. PMID:25229647

  8. Calibration of circular aperture area using vision probe at inmetro

    Directory of Open Access Journals (Sweden)

    Costa Pedro Bastos

    2016-01-01

    Full Text Available Circular aperture areas are standards of high importance for the realization of photometric and radiometric measurements, where the accuracy of these measures is related to the accuracy of the circular aperture area calibrations. In order to attend the requirement for traceability was developed in Brazilian metrology institute, a methodology for circular aperture area measurement as requirements from the radiometric and photometric measurements. In the developed methodology apertures are measured by non-contact measurement through images of the aperture edges captured by a camera. These images are processed using computer vision techniques and then the values of the circular aperture area are determined.

  9. On the origin of increased sensitivity and mass resolution using silicon masks in MALDI.

    Science.gov (United States)

    Diologent, Laurent; Franck, Julien; Wisztorski, Maxence; Treizebre, Anthony; Focsa, Cristian; Fournier, Isabelle; Ziskind, Michael

    2014-02-04

    Since its development, MALDI has proved its performance in the analysis of intact biomolecules up to high molecular weights, regardless of their polarity. Sensitivity of MALDI instruments is a key point for breaking the limits of observing biomolecules of lower abundances. Instrumentation is one way to improve sensitivity by increasing ion transmission and using more sensitive detection systems. On the other side, improving MALDI ion production yields would have important outcomes. MALDI ion production is still not well-controlled and, indeed, the amount of ions produced per laser shot with respect to the total volume of desorbed material is very low. This has particular implications for certain applications, such as MALDI MS imaging where laser beam focusing as fine as possible (5-10 μm) is searched in order to reach higher spatial resolution images. However, various studies point out an intrinsic decrease in signal intensity for strong focusing. We have therefore been interested in developing silicon mask systems to decrease an irradiated area by cutting rather than focusing the laser beam and to study the parameters affecting sensitivity using such systems. For this, we systematically examined variation with laser fluence of intensity and spectral resolution in MALDI of standard peptides when using silicon-etched masks of various aperture sizes. These studies demonstrate a simultaneous increase in spectral resolution and signal intensity. Origin of this effect is discussed in the frame of the two-step ionization model. Experimental data in the low fluence range are fitted with an increase of the primary ionization through matrix-silicon edge contact provided by the masks. On the other hand, behavior at higher fluence could be explained by an effect on the secondary ionization via changes in the plume dynamics.

  10. Synthetic aperture radar: principles and applications

    International Nuclear Information System (INIS)

    Khan, N.A.; Yahya, K.M.

    2003-01-01

    In this paper an introduction to synthetic aperture radar is presented. Synthetic aperture radar is a relatively new remote sensing platform and the technology has matured a lot in the last two decades. This paper introduces the concepts behind SAR principles as well as the major areas where this new technology has shown additional information. (author)

  11. Rapid iconic erasure without masking.

    Science.gov (United States)

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  12. Threshold secret sharing scheme based on phase-shifting interferometry.

    Science.gov (United States)

    Deng, Xiaopeng; Shi, Zhengang; Wen, Wei

    2016-11-01

    We propose a new method for secret image sharing with the (3,N) threshold scheme based on phase-shifting interferometry. The secret image, which is multiplied with an encryption key in advance, is first encrypted by using Fourier transformation. Then, the encoded image is shared into N shadow images based on the recording principle of phase-shifting interferometry. Based on the reconstruction principle of phase-shifting interferometry, any three or more shadow images can retrieve the secret image, while any two or fewer shadow images cannot obtain any information of the secret image. Thus, a (3,N) threshold secret sharing scheme can be implemented. Compared with our previously reported method, the algorithm of this paper is suited for not only a binary image but also a gray-scale image. Moreover, the proposed algorithm can obtain a larger threshold value t. Simulation results are presented to demonstrate the feasibility of the proposed method.

  13. Deghosting, Demultiple, and Deblurring in Controlled-Source Seismic Interferometry

    Directory of Open Access Journals (Sweden)

    Joost van der Neut

    2011-01-01

    Full Text Available With controlled-source seismic interferometry we aim to redatum sources to downhole receiver locations without requiring a velocity model. Interferometry is generally based on a source integral over cross-correlation (CC pairs of full, perturbed (time-gated, or decomposed wavefields. We provide an overview of ghosts, multiples, and spatial blurring effects that can occur for different types of interferometry. We show that replacing cross-correlation by multidimensional deconvolution (MDD can deghost, demultiple, and deblur retrieved data. We derive and analyze MDD for perturbed and decomposed wavefields. An interferometric point spread function (PSF is introduced that can be obtained directly from downhole data. Ghosts, multiples, and blurring effects that may populate the retrieved gathers can be locally diagnosed with the PSF. MDD of perturbed fields can remove ghosts and deblur retrieved data, but it leaves particular multiples in place. To remove all overburden-related effects, MDD of decomposed fields should be applied.

  14. Practical optical interferometry imaging at visible and infrared wavelengths

    CERN Document Server

    Buscher, David F

    2015-01-01

    Optical interferometry is a powerful technique to make images on angular scales hundreds of times smaller than is possible with the largest telescopes. This concise guide provides an introduction to the technique for graduate students and researchers who want to make interferometric observations and acts as a reference for technologists building new instruments. Starting from the principles of interference, the author covers the core concepts of interferometry, showing how the effects of the Earth's atmosphere can be overcome using closure phase, and the complete process of making an observation, from planning to image reconstruction. This rigorous approach emphasizes the use of rules-of-thumb for important parameters such as the signal-to-noise ratios, requirements for sampling the Fourier plane and predicting image quality. The handbook is supported by web resources, including the Python source code used to make many of the graphs, as well as an interferometry simulation framework, available at www.cambridg...

  15. 3D super-virtual refraction interferometry

    KAUST Repository

    Lu, Kai; AlTheyab, Abdullah; Schuster, Gerard T.

    2014-01-01

    Super-virtual refraction interferometry enhances the signal-to-noise ratio of far-offset refractions. However, when applied to 3D cases, traditional 2D SVI suffers because the stationary positions of the source-receiver pairs might be any place

  16. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  17. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials

    DEFF Research Database (Denmark)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L.

    2013-01-01

    at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result......The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound...... in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners...

  18. Beam-modulation methods in quantitative and flow-visualization holographic interferometry

    Science.gov (United States)

    Decker, Arthur J.

    1986-01-01

    Heterodyne holographic interferometry and time-average holography with a frequency shifted reference beam are discussed. Both methods will be used for the measurement and visualization of internal transonic flows where the target facility is a flutter cascade. The background and experimental requirements for both methods are reviewed. Measurements using heterodyne holographic interferometry are presented. The performance of the laser required for time-average holography of time-varying transonic flows is discussed.

  19. Self-masking: Listening during vocalization. Normal hearing.

    Science.gov (United States)

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  20. New data on masking reagents in complexometry

    International Nuclear Information System (INIS)

    Yurist, I.M.; Talmud, M.M.; Zajtsev, P.M.

    1985-01-01

    Recent literature data on employing inorganic and organic oxygen-, nitrogen- and sulfur-containing substances as masking reagents (MR) in complexonometry of alkali earths, rare earths and transition elements are reviewed for the period of 1971-1983. Effectiveness of any type of MR is shown to be dependent on the electron configuration of a cation being masked. Sr, La, Th, V(6), Zr, Hf, V(5), Nb(5), Ta(5), Mo(6), W(6) a.o. are masked by oxygen-containing ligands. Zn, Cd, Fe(2, 3), Co(2, 3), Ni, etc. are masked by nitrogen- and sulfur-bearing ligands. Thiocompounds mask mainly In, Tl(3), Sn(2), Pb, Bi

  1. Adaptive Optics Observations of Exoplanets, Brown Dwarfs, and Binary Stars

    Science.gov (United States)

    Hinkley, Sasha

    2012-04-01

    The current direct observations of brown dwarfs and exoplanets have been obtained using instruments not specifically designed for overcoming the large contrast ratio between the host star and any wide-separation faint companions. However, we are about to witness the birth of several new dedicated observing platforms specifically geared towards high contrast imaging of these objects. The Gemini Planet Imager, VLT-SPHERE, Subaru HiCIAO, and Project 1640 at the Palomar 5m telescope will return images of numerous exoplanets and brown dwarfs over hundreds of observing nights in the next five years. Along with diffraction-limited coronagraphs and high-order adaptive optics, these instruments also will return spectral and polarimetric information on any discovered targets, giving clues to their atmospheric compositions and characteristics. Such spectral characterization will be key to forming a detailed theory of comparative exoplanetary science which will be widely applicable to both exoplanets and brown dwarfs. Further, the prevalence of aperture masking interferometry in the field of high contrast imaging is also allowing observers to sense massive, young planets at solar system scales (~3-30 AU)- separations out of reach to conventional direct imaging techniques. Such observations can provide snapshots at the earliest phases of planet formation-information essential for constraining formation mechanisms as well as evolutionary models of planetary mass companions. As a demonstration of the power of this technique, I briefly review recent aperture masking observations of the HR 8799 system. Moreover, all of the aforementioned techniques are already extremely adept at detecting low-mass stellar companions to their target stars, and I present some recent highlights.

  2. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  3. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    OpenAIRE

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively ev...

  4. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  5. Time-Delay Interferometry

    Directory of Open Access Journals (Sweden)

    Massimo Tinto

    2014-08-01

    Full Text Available Equal-arm detectors of gravitational radiation allow phase measurements many orders of magnitude below the intrinsic phase stability of the laser injecting light into their arms. This is because the noise in the laser light is common to both arms, experiencing exactly the same delay, and thus cancels when it is differenced at the photo detector. In this situation, much lower level secondary noises then set the overall performance. If, however, the two arms have different lengths (as will necessarily be the case with space-borne interferometers, the laser noise experiences different delays in the two arms and will hence not directly cancel at the detector. In order to solve this problem, a technique involving heterodyne interferometry with unequal arm lengths and independent phase-difference readouts has been proposed. It relies on properly time-shifting and linearly combining independent Doppler measurements, and for this reason it has been called time-delay interferometry (TDI. This article provides an overview of the theory, mathematical foundations, and experimental aspects associated with the implementation of TDI. Although emphasis on the application of TDI to the Laser Interferometer Space Antenna (LISA mission appears throughout this article, TDI can be incorporated into the design of any future space-based mission aiming to search for gravitational waves via interferometric measurements. We have purposely left out all theoretical aspects that data analysts will need to account for when analyzing the TDI data combinations.

  6. Novel Polarimetric SAR Interferometry Algorithms, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Polarimetric radar interferometry (PolInSAR) is a new SAR imaging mode that is rapidly becoming an important technique for bare earth topographic mapping, tree...

  7. A new polarized neutron interferometry facility at the NCNR

    Energy Technology Data Exchange (ETDEWEB)

    Shahi, C.B. [Physics and Engineering Physics Department, Tulane University, New Orleans, LA 70188 (United States); Arif, M. [National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Cory, D.G. [Department of Chemistry, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada); Perimeter Institute for Theoretical Physics, Waterloo, ON, Canada N2L 2Y5 (Canada); Institute for Quantum Computing, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada); Canadian Institute for Advanced Research, Toronto, ON, Canada M5G 1Z8 (Canada); Mineeva, T. [Department of Physics and Astronomy, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada); Canadian Institute for Advanced Research, Toronto, ON, Canada M5G 1Z8 (Canada); Nsofini, J.; Sarenac, D. [Institute for Quantum Computing, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada); Department of Physics and Astronomy, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada); Williams, C.J. [National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Huber, M.G., E-mail: michael.huber@nist.gov [National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Pushin, D.A., E-mail: dmitry.pushin@uwaterloo.ca [Institute for Quantum Computing, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada); Department of Physics and Astronomy, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (Canada)

    2016-03-21

    A new monochromatic beamline and facility has been installed at the National Institute of Standards and Technology (NIST) Center for Neutron Research (NCNR) devoted to neutron interferometry in the research areas of spin control, spin manipulation, quantum mechanics, quantum information science, spintronics, and material science. This facility is possible in part because of advances in decoherence free subspace interferometer designs that have demonstrated consistent contrast in the presence of vibrational noise; a major environmental constraint that has prevented neutron interferometry from being applied at other neutron facilities. Neutron interferometry measures the phase difference between a neutron wave function propagating along two spatially separated paths. It is a practical example of self interference and due to its modest path separation of a few centimeters allows the insertion of samples and macroscopic neutron spin rotators. Phase shifts can be caused by gravitational, magnetic and nuclear interactions as well as purely quantum mechanical effects making interferometer a robust tool in neutron research. This new facility is located in the guide hall of the NCNR upstream of the existing Neutron Interferometry and Optics Facility (NIOF) and has several advantages over the NIOF including higher incident flux, better neutron polarization, and increased accessibility. The long term goal for the new facility is to be a user supported beamline and makes neutron interferometer more generally available to the scientific community. This paper addresses both the capabilities and characteristics of the new facility.

  8. Beam-modulation methods in quantitative and flow visualization holographic interferometry

    Science.gov (United States)

    Decker, A.

    1986-01-01

    This report discusses heterodyne holographic interferometry and time-average holography with a frequency shifted reference beam. Both methods will be used for the measurement and visualization of internal transonic flows, where the target facility is a flutter cascade. The background and experimental requirements for both methods are reviewed. Measurements using heterodyne holographic interferometry are presented. The performance of the laser required for time-average holography of time-varying transonic flows is discussed.

  9. Green's function representations for seismic interferometry

    NARCIS (Netherlands)

    Wapenaar, C.P.A.; Fokkema, J.T.

    2006-01-01

    The term seismic interferometry refers to the principle of generating new seismic responses by crosscorrelating seismic observations at different receiver locations. The first version of this principle was derived by Claerbout (1968), who showed that the reflection response of a horizontally layered

  10. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    Directory of Open Access Journals (Sweden)

    Jaime M Beecroft

    2006-01-01

    Full Text Available BACKGROUND: The OxyMask (Southmedic Inc, Canada is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA in patients with chronic hypoxemia.

  11. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  12. Multi-antenna synthetic aperture radar

    CERN Document Server

    Wang, Wen-Qin

    2013-01-01

    Synthetic aperture radar (SAR) is a well-known remote sensing technique, but conventional single-antenna SAR is inherently limited by the minimum antenna area constraint. Although there are still technical issues to overcome, multi-antenna SAR offers many benefits, from improved system gain to increased degrees-of-freedom and system flexibility. Multi-Antenna Synthetic Aperture Radar explores the potential and challenges of using multi-antenna SAR in microwave remote sensing applications. These applications include high-resolution imaging, wide-swath remote sensing, ground moving target indica

  13. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  14. Dynamic metamaterial aperture for microwave imaging

    International Nuclear Information System (INIS)

    Sleasman, Timothy; Imani, Mohammadreza F.; Gollub, Jonah N.; Smith, David R.

    2015-01-01

    We present a dynamic metamaterial aperture for use in computational imaging schemes at microwave frequencies. The aperture consists of an array of complementary, resonant metamaterial elements patterned into the upper conductor of a microstrip line. Each metamaterial element contains two diodes connected to an external control circuit such that the resonance of the metamaterial element can be damped by application of a bias voltage. Through applying different voltages to the control circuit, select subsets of the elements can be switched on to create unique radiation patterns that illuminate the scene. Spatial information of an imaging domain can thus be encoded onto this set of radiation patterns, or measurements, which can be processed to reconstruct the targets in the scene using compressive sensing algorithms. We discuss the design and operation of a metamaterial imaging system and demonstrate reconstructed images with a 10:1 compression ratio. Dynamic metamaterial apertures can potentially be of benefit in microwave or millimeter wave systems such as those used in security screening and through-wall imaging. In addition, feature-specific or adaptive imaging can be facilitated through the use of the dynamic aperture

  15. Dynamic metamaterial aperture for microwave imaging

    Energy Technology Data Exchange (ETDEWEB)

    Sleasman, Timothy; Imani, Mohammadreza F.; Gollub, Jonah N.; Smith, David R. [Center for Metamaterials and Integrated Plasmonics, Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina, 27708 (United States)

    2015-11-16

    We present a dynamic metamaterial aperture for use in computational imaging schemes at microwave frequencies. The aperture consists of an array of complementary, resonant metamaterial elements patterned into the upper conductor of a microstrip line. Each metamaterial element contains two diodes connected to an external control circuit such that the resonance of the metamaterial element can be damped by application of a bias voltage. Through applying different voltages to the control circuit, select subsets of the elements can be switched on to create unique radiation patterns that illuminate the scene. Spatial information of an imaging domain can thus be encoded onto this set of radiation patterns, or measurements, which can be processed to reconstruct the targets in the scene using compressive sensing algorithms. We discuss the design and operation of a metamaterial imaging system and demonstrate reconstructed images with a 10:1 compression ratio. Dynamic metamaterial apertures can potentially be of benefit in microwave or millimeter wave systems such as those used in security screening and through-wall imaging. In addition, feature-specific or adaptive imaging can be facilitated through the use of the dynamic aperture.

  16. Integrated electrochromic aperture diaphragm

    Science.gov (United States)

    Deutschmann, T.; Oesterschulze, E.

    2014-05-01

    In the last years, the triumphal march of handheld electronics with integrated cameras has opened amazing fields for small high performing optical systems. For this purpose miniaturized iris apertures are of practical importance because they are essential to control both the dynamic range of the imaging system and the depth of focus. Therefore, we invented a micro optical iris based on an electrochromic (EC) material. This material changes its absorption in response to an applied voltage. A coaxial arrangement of annular rings of the EC material is used to establish an iris aperture without need of any mechanical moving parts. The advantages of this device do not only arise from the space-saving design with a thickness of the device layer of 50μm. But it also benefits from low power consumption. In fact, its transmission state is stable in an open circuit, phrased memory effect. Only changes of the absorption require a voltage of up to 2 V. In contrast to mechanical iris apertures the absorption may be controlled on an analog scale offering the opportunity for apodization. These properties make our device the ideal candidate for battery powered and space-saving systems. We present optical measurements concerning control of the transmitted intensity and depth of focus, and studies dealing with switching times, light scattering, and stability. While the EC polymer used in this study still has limitations concerning color and contrast, the presented device features all functions of an iris aperture. In contrast to conventional devices it offers some special features. Owing to the variable chemistry of the EC material, its spectral response may be adjusted to certain applications like color filtering in different spectral regimes (UV, optical range, infrared). Furthermore, all segments may be switched individually to establish functions like spatial Fourier filtering or lateral tunable intensity filters.

  17. Visualization and direct comparison of large displacements using difference holographic interferometry

    International Nuclear Information System (INIS)

    Necati Ecevit, F.; Aydin, R.

    1994-01-01

    The difference holographic interferometry provides the possibility of direct comparison of large displacements and deformations of two similar but different objects by application of a special kind of illumination. In this work, the principles of the difference holographic interferometry and the experimental results obtained by applying the single beam technique to large displacements is presented. (author). 10 refs, 4 figs

  18. Application of deconvolution interferometry with both Hi-net and KiK-net data

    Science.gov (United States)

    Nakata, N.

    2013-12-01

    Application of deconvolution interferometry to wavefields observed by KiK-net, a strong-motion recording network in Japan, is useful for estimating wave velocities and S-wave splitting in the near surface. Using this technique, for example, Nakata and Snieder (2011, 2012) found changed in velocities caused by Tohoku-Oki earthquake in Japan. At the location of the borehole accelerometer of each KiK-net station, a velocity sensor is also installed as a part of a high-sensitivity seismograph network (Hi-net). I present a technique that uses both Hi-net and KiK-net records for computing deconvolution interferometry. The deconvolved waveform obtained from the combination of Hi-net and KiK-net data is similar to the waveform computed from KiK-net data only, which indicates that one can use Hi-net wavefields for deconvolution interferometry. Because Hi-net records have a high signal-to-noise ratio (S/N) and high dynamic resolution, the S/N and the quality of amplitude and phase of deconvolved waveforms can be improved with Hi-net data. These advantages are especially important for short-time moving-window seismic interferometry and deconvolution interferometry using later coda waves.

  19. Contralateral tactile masking between forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-03-01

    Masking effects have been demonstrated in which tactile sensitivity is affected when one touch is close to another on the body surface. Such effects are likely a result of local lateral inhibitory circuits that sharpen the spatial tuning of a given tactile receptor. Mutually inhibitory pathways have also been demonstrated between cortical tactile maps of the two halves of the body. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at contralateral locations. Here, we measure the spatial tuning and effect of posture on this contralateral masking effect. Tactile sensitivity was measured on one forearm, while vibrotactile masking stimulation was applied to the opposite arm. Results were compared to sensitivity while vibrotactile stimulation was applied to a control site on the right shoulder. Sensitivity on the forearm was reduced by over 3 dB when the arms were touching and by 0.52 dB when they were held parallel. The masking effect depended on the position of the masking stimulus. Its effectiveness fell off by 1 STD when the stimulus was 29 % of arm length from the corresponding contralateral point. This long-range inhibitory effect in the tactile system suggests a surprisingly intimate relationship between the two sides of the body.

  20. Interferometry with atoms

    International Nuclear Information System (INIS)

    Helmcke, J.; Riehle, F.; Witte, A.; Kisters, T.

    1992-01-01

    Physics and experimental results of atom interferometry are reviewed and several realizations of atom interferometers are summarized. As a typical example of an atom interferometer utilizing the internal degrees of freedom of the atom, we discuss the separated field excitation of a calcium atomic beam using four traveling laser fields and demonstrate the Sagnac effect in a rotating interferometer. The sensitivity of this interferometer can be largely increased by use of slow atoms with narrow velocity distribution. We therefore furthermore report on the preparation of a laser cooled and deflected calcium atomic beam. (orig.)

  1. Basics of interferometry

    CERN Document Server

    Hariharan, P

    1992-01-01

    This book is for those who have some knowledge of optics, but little or no previous experience in interferometry. Accordingly, the carefully designed presentation helps readers easily find and assimilate the interferometric techniques they need for precision measurements. Mathematics is held to a minimum, and the topics covered are also summarized in capsule overviews at the beginning and end of each chapter. Each chapter also contains a set of worked problems that give a feel for numbers.The first five chapters present a clear tutorial review of fundamentals. Chapters six and seven discus

  2. Monitoring Unstable Glaciers with Seismic Noise Interferometry

    Science.gov (United States)

    Preiswerk, L. E.; Walter, F.

    2016-12-01

    Gravity-driven glacier instabilities are a threat to human infrastructure in alpine terrain, and this hazard is likely to increase with future changes in climate. Seismometers have been used previously on hazardous glaciers to monitor the natural englacial seismicity. In some situations, an increase in "icequake" activity may indicate fracture growth and thus an imminent major break-off. However, without independent constraints on unstable volumes, such mere event counting is of little use. A promising new approach to monitor unstable masses in Alpine terrain is coda wave interferometry of ambient noise. While already established in the solid earth, application to glaciers is not straightforward, because the lack of inhomogeneities typically suppresses seismic coda waves in glacier ice. Only glaciers with pervasive crevasses provide enough scattering to generate long codas. This is requirement is likely met for highly dynamic unstable glaciers. Here, we report preliminary results from a temporary 5-station on-ice array of seismometers (corner frequencies: 1 Hz, array aperture: 500m) on Bisgletscher (Switzerland). The seismometers were deployed in shallow boreholes, directly above the unstable tongue of the glacier. In the frequency band 4-12 Hz, we find stable noise cross-correlations, which in principle allows monitoring on a subdaily scale. The origin and the source processes of the ambient noise in these frequencies are however uncertain. As a first step, we evaluate the stability of the sources in order to separate effects of changing source parameters from changes of englacial properties. Since icequakes occurring every few seconds may dominate the noise field, we compare their temporal and spatial occurrences with the cross-correlation functions (stability over time, the asymmetry between causal and acausal parts of the cross-correlation functions) as well as with results from beamforming to assess the influence of these transient events on the noise field.

  3. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  4. Speckle interferometry of asteroids

    International Nuclear Information System (INIS)

    Drummond, J.

    1988-01-01

    By studying the image two-dimensional power spectra or autocorrelations projected by an asteroid as it rotates, it is possible to locate its rotational pole and derive its three axes dimensions through speckle interferometry under certain assumptions of uniform, geometric scattering, and triaxial ellipsoid shape. However, in cases where images can be reconstructed, the need for making the assumptions is obviated. Furthermore, the ultimate goal for speckle interferometry of image reconstruction will lead to mapping albedo features (if they exist) as impact areas or geological units. The first glimpses of the surface of an asteroid were obtained from images of 4 Vesta reconstructed from speckle interferometric observations. These images reveal that Vesta is quite Moon-like in having large hemispheric-scale albedo features. All of its lightcurves can be produced from a simple model developed from the images. Although undoubtedly more intricate than the model, Vesta's lightcurves can be matched by a model with three dark and four bright spots. The dark areas so dominate one hemisphere that a lightcurve minimum occurs when the maximum cross-section area is visible. The triaxial ellipsoid shape derived for Vesta is not consistent with the notion that the asteroid has an equilibrium shape in spite of its having apparently been differentiated

  5. Congenital pyriform aperture stenosis

    International Nuclear Information System (INIS)

    Osovsky, Micky; Aizer-Danon, Anat; Horev, Gadi; Sirota, Lea

    2007-01-01

    Nasal airway obstruction is a potentially life-threatening condition in the newborn. Neonates are obligatory nasal breathers. The pyriform aperture is the narrowest, most anterior bony portion of the nasal airway, and a decrease in its cross-sectional area will significantly increase nasal airway resistance. Congenital nasal pyriform aperture stenosis (CNPAS) is a rare, unusual form of nasal obstruction. It should be considered in the differential diagnosis of any neonate or infant with signs and symptoms of upper airway compromise. It is important to differentiate this level of obstruction from the more common posterior choanal stenosis or atresia. CNPAS presents with symptoms of nasal airway obstruction, which are often characterized by episodic apnea and cyclical cyanosis. (orig.)

  6. Extended Aperture Photometry of K2 RR Lyrae stars

    Science.gov (United States)

    Plachy, Emese; Klagyivik, Péter; Molnár, László; Sódor, Ádám; Szabó, Róbert

    2017-10-01

    We present the method of the Extended Aperture Photometry (EAP) that we applied on K2 RR Lyrae stars. Our aim is to minimize the instrumental variations of attitude control maneuvers by using apertures that cover the positional changes in the field of view thus contain the stars during the whole observation. We present example light curves that we compared to the light curves from the K2 Systematics Correction (K2SC) pipeline applied on the automated Single Aperture Photometry (SAP) and on the Pre-search Data Conditioning Simple Aperture Photometry (PDCSAP) data.

  7. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    Science.gov (United States)

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  8. Vibrotactile masking through the body.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-09-01

    Touches on one hand or forearm can affect tactile sensitivity at contralateral locations on the opposite side of the body. These interactions suggest an intimate connection between the two sides of the body. Here, we explore the effect of masking not across the body but through the body by measuring the effect of a masking stimulus on the back on the tactile sensitivity of the corresponding point on the front. Tactile sensitivity was measured on each side of the stomach, while vibrotactile masking stimulation was applied to one side of the front and to points on the back including the point directly behind the test point on the front. Results were compared to sensitivity, while vibrotactile stimulation was applied to a control site on the shoulder. A reduction in sensitivity of about .8 dB was found that required the masking stimulus to be within about 2 cm of the corresponding point on the back.

  9. Some applications of holographic interferometry in biomechanics

    Science.gov (United States)

    Ebbeni, Jean P. L.

    1992-03-01

    Holographic interferometry is well adapted for the determination of 2D strain fields in osseous structures. The knowledge of those strain fields is important for the understanding of structure behavior such as arthrosis.

  10. Speckle Interferometry

    Science.gov (United States)

    Chiang, F. P.; Jin, F.; Wang, Q.; Zhu, N.

    Before the milestone work of Leedertz in 1970 coherent speckles generated from a laser illuminated object are considered noise to be eliminated or minimized. Leedertz shows that coherent speckles are actually information carriers. Since then the speckle technique has found many applications to fields of mechanics, metrology, nondestructive evaluation and material sciences. Speckles need not be coherent. Artificially created socalled white light speckles can also be used as information carriers. In this paper we present two recent developments of speckle technique with applications to micromechanics problems using SIEM (Speckle Interferometry with Electron Microscopy), to nondestructive evaluation of crevice corrosion and composite disbond and vibration of large structures using TADS (Time-Average Digital Specklegraphy).

  11. Aperture meter for the Large Hadron Collider

    International Nuclear Information System (INIS)

    Mueller, G.J.; Fuchsberger, K.; Redaelli, S.

    2012-01-01

    The control of the high intensity beams of the CERN Large Hadron Collider (LHC) is particular challenging and requires a good modeling of the machine and monitoring of various machine parameters. During operation it is crucial to ensure a minimal distance between the beam edge and the aperture of sensitive equipment, e.g. the superconducting magnets, which in all cases must be in the shadow of the collimator's that protect the machine. Possible dangerous situations must be detected as soon as possible. In order to provide the operator with information about the current machine bottlenecks an aperture meter application was developed based on the LHC online modeling tool-chain. The calculation of available free aperture takes into account the best available optics and aperture model as well as the relevant beam measurements. This paper describes the design and integration of this application into the control environment and presents results of the usage in daily operation and from validation measurements. (authors)

  12. Azimuthally sensitive Hanbury Brown-Twiss interferometry measured with the ALICE experiment

    Energy Technology Data Exchange (ETDEWEB)

    Gramling, Johanna Lena

    2011-07-01

    Bose-Einstein correlations of identical pions emitted in high-energy particle collisions provide information about the size of the source region in space-time. If analyzed via HBT Interferometry in several directions with respect to the reaction plane, the shape of the source can be extracted. Hence, HBT Interferometry provides an excellent tool to probe the characteristics of the quark-gluon plasma possibly created in high-energy heavy-ion collisions. This thesis introduces the main theoretical concepts of particle physics, the quark gluon plasma and the technique of HBT interferometry. The ALICE experiment at the CERN Large Hadron Collider (LHC) is explained and the first azimuthallyintegrated results measured in Pb-Pb collisions at √(s{sub NN})=2.76 TeV with ALICE are presented. A detailed two-track resolution study leading to a global pair cut for HBT analyses has been performed, and a framework for the event plane determination has been developed. The results from azimuthally sensitive HBT interferometry are compared to theoretical models and previous measurements at lower energies. Oscillations of the transverse radii in dependence on the pair emission angle are observed, consistent with a source that is extended out-of-plane.

  13. Scope of neutron interferometry

    International Nuclear Information System (INIS)

    Rauch, H.

    1978-01-01

    This paper deals with the interferometry of well separated coherent beams, where the phase of the beams can be manipulated individually. The basic equation of the dynamical neutron diffraction theory are recalled. The various contributions to the interaction of as low neutron with its surroundings are discussed: the various terms denote the nuclear, magnetic, electromagnetic, intrinsic, gravitational, and weak interaction respectively. Applications to nuclear physics, fundamental physics and solid state physics are successively envisaged

  14. 21 CFR 868.5560 - Gas mask head strap.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  15. Spherical grating based x-ray Talbot interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Cong, Wenxiang, E-mail: congw@rpi.edu, E-mail: xiy2@rpi.edu, E-mail: wangg6@rpi.edu; Xi, Yan, E-mail: congw@rpi.edu, E-mail: xiy2@rpi.edu, E-mail: wangg6@rpi.edu; Wang, Ge, E-mail: congw@rpi.edu, E-mail: xiy2@rpi.edu, E-mail: wangg6@rpi.edu [Biomedical Imaging Center, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-11-15

    Purpose: Grating interferometry is a state-of-the-art x-ray imaging approach, which can acquire information on x-ray attenuation, phase shift, and small-angle scattering simultaneously. Phase-contrast imaging and dark-field imaging are very sensitive to microstructural variation and offers superior contrast resolution for biological soft tissues. However, a common x-ray tube is a point-like source. As a result, the popular planar grating imaging configuration seriously restricts the flux of photons and decreases the visibility of signals, yielding a limited field of view. The purpose of this study is to extend the planar x-ray grating imaging theory and methods to a spherical grating scheme for a wider range of preclinical and clinical applications. Methods: A spherical grating matches the wave front of a point x-ray source very well, allowing the perpendicular incidence of x-rays on the grating to achieve a higher visibility over a larger field of view than the planer grating counterpart. A theoretical analysis of the Talbot effect for spherical grating imaging is proposed to establish a basic foundation for x-ray spherical gratings interferometry. An efficient method of spherical grating imaging is also presented to extract attenuation, differential phase, and dark-field images in the x-ray spherical grating interferometer. Results: Talbot self-imaging with spherical gratings is analyzed based on the Rayleigh–Sommerfeld diffraction formula, featuring a periodic angular distribution in a polar coordinate system. The Talbot distance is derived to reveal the Talbot self-imaging pattern. Numerical simulation results show the self-imaging phenomenon of a spherical grating interferometer, which is in agreement with the theoretical prediction. Conclusions: X-ray Talbot interferometry with spherical gratings has a significant practical promise. Relative to planar grating imaging, spherical grating based x-ray Talbot interferometry has a larger field of view and

  16. Spherical grating based x-ray Talbot interferometry

    International Nuclear Information System (INIS)

    Cong, Wenxiang; Xi, Yan; Wang, Ge

    2015-01-01

    Purpose: Grating interferometry is a state-of-the-art x-ray imaging approach, which can acquire information on x-ray attenuation, phase shift, and small-angle scattering simultaneously. Phase-contrast imaging and dark-field imaging are very sensitive to microstructural variation and offers superior contrast resolution for biological soft tissues. However, a common x-ray tube is a point-like source. As a result, the popular planar grating imaging configuration seriously restricts the flux of photons and decreases the visibility of signals, yielding a limited field of view. The purpose of this study is to extend the planar x-ray grating imaging theory and methods to a spherical grating scheme for a wider range of preclinical and clinical applications. Methods: A spherical grating matches the wave front of a point x-ray source very well, allowing the perpendicular incidence of x-rays on the grating to achieve a higher visibility over a larger field of view than the planer grating counterpart. A theoretical analysis of the Talbot effect for spherical grating imaging is proposed to establish a basic foundation for x-ray spherical gratings interferometry. An efficient method of spherical grating imaging is also presented to extract attenuation, differential phase, and dark-field images in the x-ray spherical grating interferometer. Results: Talbot self-imaging with spherical gratings is analyzed based on the Rayleigh–Sommerfeld diffraction formula, featuring a periodic angular distribution in a polar coordinate system. The Talbot distance is derived to reveal the Talbot self-imaging pattern. Numerical simulation results show the self-imaging phenomenon of a spherical grating interferometer, which is in agreement with the theoretical prediction. Conclusions: X-ray Talbot interferometry with spherical gratings has a significant practical promise. Relative to planar grating imaging, spherical grating based x-ray Talbot interferometry has a larger field of view and

  17. Investigating neurophysiological correlates of metacontrast masking with magnetoencephalography

    Directory of Open Access Journals (Sweden)

    Jens Schwarzbach

    2006-01-01

    Full Text Available Early components of visual evoked potentials (VEP in EEG seem to be unaffected by target visibility in visual masking studies. Bridgeman's reanalysis of Jeffreys and Musselwhite's (1986 data suggests that a later visual component in the VEP, around 250 ms reflects the perceptual effect of masking. We challenge this view on the ground that temporal interactions between targets and masks unrelated to stimulus visibility could account for Bridgeman's observation of a U-shaped time course in VEP amplitudes for this later component. In an MEG experiment of metacontrast masking with variable stimulus onset asynchrony, we introduce a proper control, a pseudo mask. In contrast to an effective mask, the pseudomask should produce neither behavioral masking nor amplitude modulations of late VEPs. Our results show that effective masks produced a strong U-shaped perceptual effect of target visibility while performance remained virtually perfect when a pseudomask was used. The visual components around 250 ms after target onset did not show a distinction between mask and pseudomask conditions. The results indicate that these visual evoked potentials do not reveal neurophysiological correlates of stimulus visibility but rather reflect dynamic interactions between superimposed potentials elicited by stimuli in close temporal proximity. However, we observed a postperceptual component around 340 ms after target onset, located over temporal-parietal cortex, which shows a clear effect of visibility. Based on P300 ERP literature, this finding could indicate that working memory related processes contribute to metacontrast masking.

  18. Causal mechanisms of masked hypertension: socio-psychological aspects.

    Science.gov (United States)

    Ogedegbe, Gbenga

    2010-04-01

    The contribution of Dr Thomas Pickering's study to the measurement of blood pressure (BP) is the defining aspect of his academic career and achievement - narrowly defined. In this regard, two important areas characterized his study as it relates to masked hypertension. First, he introduced the term, masked hypertension, to replace the rather inappropriate term 'reverse white-coat hypertension' and 'white-coat normotension'; thus drawing attention to the fact that these patients are genuinely hypertensive by ambulatory BP but were missed by normal office BP. More importantly, he rightly maintained that masked hypertension is a true continuum of sustained hypertension rather than an aberrant measurement artifact. Second, is his pivotal study on the important role of psychosocial factors as a potential mechanism for the development of masked hypertension. In this regard, he explained masked hypertension as a conditioned response to anxiety in office settings, and highlighted the role that diagnostic labeling plays in its development. His view of masked hypertension is that of a continuum from prehypertension (based on office BP measurement) to masked hypertension (based on ambulatory BP) and finally to sustained hypertension (based on both office and ambulatory BP). He strongly believes that it is the prehypertensive patients who progress to masked hypertension. Subsequently, patients who are prehypertensive should be screened for masked hypertension and treated. In this manuscript, we summarize his study as it relates to the definition of masked hypertension, the psychosocial characteristics, mechanisms and its clinical relevance.

  19. Interferometry with particles of non-zero rest mass: topological experiments

    International Nuclear Information System (INIS)

    Opat, G.I.

    1994-01-01

    Interferometry as a space-time process is described, together with its topology. Starting from this viewpoint, a convenient unified formalism for the phase shifts which arise in particle interferometry is developed. This formalism is based on a covariant form of Hamilton's action principle and Lagrange's equations of motion. It will be shown that this Lorentz invariant formalism yields a simple perturbation theoretic expression for the general phase shift that arises in matter-wave interferometry. The Lagrangian formalism is compared with the more usual formalism based on the wave propagation vector and frequency. The resulting formalism will be used to analyse the Sagnac effect, gravitational field measurements, and several Aharonov-Bohm-like topological phase shifts. Several topological interferometric experiments using particles of non-zero rest mass are discussed. These experiments involve the use of electrons, neutrons and neutral atoms. Neutron experiments will be emphasised. 45 refs., 15 figs

  20. Theoretical investigations on dual-beam illumination electronic speckle pattern interferometry

    International Nuclear Information System (INIS)

    Goudemand, Nicolas

    2006-01-01

    Contrary to what is found in most of the existing scientific literature,where a specific frame is developed, the theory of speckle interferometry is (conveniently) presented here as a particular case of the more general theory of holographic interferometry. In addition to the intellectual benefit of dealing with a single unified theory, this brings about many advantages when it comes to discuss fundamental topics such as the three-dimensional evolution of the complex amplitude of the diffuse optical wave fronts, the degree of approximation of the leading formulas, the loss of fringe contrast,the decorrelation effects, the real influence of the terms generally neglected in out-of-focus regions. In the same way, the statistical properties of the speckle fields, usually treated as a separate subject matter, are also integrated in the theory, thus providing a comprehensive knowledge of the qualitative features of speckle interferometry methods, otherwise difficult to understand

  1. Theoretical investigations on dual-beam illumination electronic speckle pattern interferometry

    Science.gov (United States)

    Goudemand, Nicolas

    2006-07-01

    Contrary to what is found in most of the existing scientific literature, where a specific frame is developed, the theory of speckle interferometry is (conveniently) presented here as a particular case of the more general theory of holographic interferometry. In addition to the intellectual benefit of dealing with a single unified theory, this brings about many advantages when it comes to discuss fundamental topics such as the three-dimensional evolution of the complex amplitude of the diffuse optical wavefronts, the degree of approximation of the leading formulas, the loss of fringe contrast, the decorrelation effects, the real influence of the terms generally neglected in out-of-focus regions. In the same way, the statistical properties of the speckle fields, usually treated as a separate subject matter, are also integrated in the theory, thus providing a comprehensive knowledge of the qualitative features of speckle interferometry methods, otherwise difficult to understand.

  2. High-contrast imaging with an arbitrary aperture: Active compensation of aperture discontinuities

    International Nuclear Information System (INIS)

    Pueyo, Laurent; Norman, Colin

    2013-01-01

    We present a new method to achieve high-contrast images using segmented and/or on-axis telescopes. Our approach relies on using two sequential deformable mirrors (DMs) to compensate for the large amplitude excursions in the telescope aperture due to secondary support structures and/or segment gaps. In this configuration the parameter landscape of DM surfaces that yield high-contrast point-spread functions is not linear, and nonlinear methods are needed to find the true minimum in the optimization topology. We solve the highly nonlinear Monge-Ampere equation that is the fundamental equation describing the physics of phase-induced amplitude modulation. We determine the optimum configuration for our two sequential DM system and show that high-throughput and high-contrast solutions can be achieved using realistic surface deformations that are accessible using existing technologies. We name this process Active Compensation of Aperture Discontinuities (ACAD). We show that for geometries similar to the James Webb Space Telescope, ACAD can attain at least 10 –7 in contrast and an order of magnitude higher for both the future extremely large telescopes and on-axis architectures reminiscent of the Hubble Space Telescope. We show that the converging nonlinear mappings resulting from our DM shapes actually damp near-field diffraction artifacts in the vicinity of the discontinuities. Thus, ACAD actually lowers the chromatic ringing due to diffraction by segment gaps and struts while not amplifying the diffraction at the aperture edges beyond the Fresnel regime. This outer Fresnel ringing can be mitigated by properly designing the optical system. Consequently, ACAD is a true broadband solution to the problem of high-contrast imaging with segmented and/or on-axis apertures. We finally show that once the nonlinear solution is found, fine tuning with linear methods used in wavefront control can be applied to further contrast by another order of magnitude. Generally speaking, the

  3. Ergonomic evaluation of pilot oxygen mask designs

    NARCIS (Netherlands)

    Lee, W.; Yang, Xiaopeng; Jung, Daehan; Park, Seikwon; Kim, Heeeun; You, Heecheon

    2018-01-01

    A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure,

  4. 21 CFR 868.5550 - Anesthetic gas mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  5. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    Science.gov (United States)

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  6. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    Science.gov (United States)

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  7. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  8. PNO-apparatus and its test use for neutron interferometry

    International Nuclear Information System (INIS)

    Tomimitsu, Hiroshi; Aizawa, Kazuya; Hasegawa, Yuji; Kikuta, Seishi.

    1993-01-01

    Special apparatus 'PNO' of multiutility in the so-called precise neutron optics, such as double or triple crystal diffractometry, interferometry, etc., including neutron diffraction topography, was settled at 3G beam hole in the JRR-3M. In the symposium, several applications of the PNO apparatus are presented as 1) very small angle neutron scattering tool with double crystal arrangement, 2) the characterization of the quality of artificial multilayer lattices made of Ti-Ni by a triple crystal arrangement, 3) the characterization of Ni-base superalloy single crystals by the diffraction topography, which are presented in individual sessions. Preliminary test of the neutron interferometry was also tried with the PNO apparatus. Usual monolithic Si LLL- type interferometer was used with an Al phase shifter in the neutron beam paths. The periodicity of the measured intensity curve was well corresponded to the expected one. The best contrast of the intensity curve was measured as high as 43%. The utility of the PNO-apparatus for neutron interferometry was, thus, approved. (author)

  9. A Masked Photocathode in a Photoinjector

    OpenAIRE

    Qiang, Ji

    2011-01-01

    In this paper, we propose a masked photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto an electrode, an electrode with small hole is used as a mask to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material easy by rotating the photocathode behind the electrode into the hole. Furthermore, this helps reduce the dark current or seconda...

  10. Extended Aperture Photometry of K2 RR Lyrae stars

    Directory of Open Access Journals (Sweden)

    Plachy Emese

    2017-01-01

    Full Text Available We present the method of the Extended Aperture Photometry (EAP that we applied on K2 RR Lyrae stars. Our aim is to minimize the instrumental variations of attitude control maneuvers by using apertures that cover the positional changes in the field of view thus contain the stars during the whole observation. We present example light curves that we compared to the light curves from the K2 Systematics Correction (K2SC pipeline applied on the automated Single Aperture Photometry (SAP and on the Pre-search Data Conditioning Simple Aperture Photometry (PDCSAP data.

  11. Influence of coma aberration on aperture averaged scintillations in oceanic turbulence

    Science.gov (United States)

    Luo, Yujuan; Ji, Xiaoling; Yu, Hong

    2018-01-01

    The influence of coma aberration on aperture averaged scintillations in oceanic turbulence is studied in detail by using the numerical simulation method. In general, in weak oceanic turbulence, the aperture averaged scintillation can be effectively suppressed by means of the coma aberration, and the aperture averaged scintillation decreases as the coma aberration coefficient increases. However, in moderate and strong oceanic turbulence the influence of coma aberration on aperture averaged scintillations can be ignored. In addition, the aperture averaged scintillation dominated by salinity-induced turbulence is larger than that dominated by temperature-induced turbulence. In particular, it is shown that for coma-aberrated Gaussian beams, the behavior of aperture averaged scintillation index is quite different from the behavior of point scintillation index, and the aperture averaged scintillation index is more suitable for characterizing scintillations in practice.

  12. Developing Wide-Field Spatio-Spectral Interferometry for Far-Infrared Space Applications

    Science.gov (United States)

    Leisawitz, David; Bolcar, Matthew R.; Lyon, Richard G.; Maher, Stephen F.; Memarsadeghi, Nargess; Rinehart, Stephen A.; Sinukoff, Evan J.

    2012-01-01

    Interferometry is an affordable way to bring the benefits of high resolution to space far-IR astrophysics. We summarize an ongoing effort to develop and learn the practical limitations of an interferometric technique that will enable the acquisition of high-resolution far-IR integral field spectroscopic data with a single instrument in a future space-based interferometer. This technique was central to the Space Infrared Interferometric Telescope (SPIRIT) and Submillimeter Probe of the Evolution of Cosmic Structure (SPECS) space mission design concepts, and it will first be used on the Balloon Experimental Twin Telescope for Infrared Interferometry (BETTII). Our experimental approach combines data from a laboratory optical interferometer (the Wide-field Imaging Interferometry Testbed, WIIT), computational optical system modeling, and spatio-spectral synthesis algorithm development. We summarize recent experimental results and future plans.

  13. Optical interferometry for biology and medicine

    CERN Document Server

    Nolte, David D

    2012-01-01

    This book presents the fundamental physics of optical interferometry as applied to biophysical, biological and medical research. Interference is at the core of many types of optical detection and is a powerful probe of cellular and tissue structure in interfererence microscopy and in optical coherence tomography. It is also the root cause of speckle and other imaging artefacts that limit range and resolution. For biosensor applications, the inherent sensitivity of interferometry enables ultrasensitive detection of molecules in biological samples for medical diagnostics. In this book, emphasis is placed on the physics of light scattering, beginning with the molecular origins of refraction as light propagates through matter, and then treating the stochastic nature of random fields that ultimately dominate optical imaging in cells and tissue. The physics of partial coherence plays a central role in the text, with a focus on coherence detection techniques that allow information to be selectively detected out of ...

  14. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    Science.gov (United States)

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  15. Large-aperture hybrid photo-detector

    International Nuclear Information System (INIS)

    Kawai, Y.; Nakayama, H.; Kusaka, A.; Kakuno, H.; Abe, T.; Iwasaki, M.; Aihara, H.; Tanaka, M.; Shiozawa, M.; Kyushima, H.; Suyama, M.

    2007-01-01

    We have developed the first complete large-aperture (13-inch diameter) hybrid photo-detector (HPD). The withstanding voltage problem has been overcome and we were able to attain an HPD operating voltage of +20 kV. Adoption of our newly developed backside illumination avalanche diode (AD) was also critical in successfully countering the additional problem of an increase in AD leakage after the activation process. We observed single photon signal timing jitter of under 450 ps in FWHM, electron transit time of ∼12 ns, and clear pulse height separation up to several photoelectron peaks, all greatly superior to the performance of any conventional large-aperture photomultiplier tubes (PMTs). In addition, our HPD has a much simpler structure than conventional large-aperture PMTs, which simplifies mass production and lowers manufacturing cost. We believe that these attributes position our HPD as the most suitable photo-detector for the next generation mega-ton class water-Cherenkov detector, which is expected to be more than 20x larger than the Super-Kamiokande (SK) detector

  16. Monitoring Strategies of Earth Dams by Ground-Based Radar Interferometry: How to Extract Useful Information for Seismic Risk Assessment.

    Science.gov (United States)

    Di Pasquale, Andrea; Nico, Giovanni; Pitullo, Alfredo; Prezioso, Giuseppina

    2018-01-16

    The aim of this paper is to describe how ground-based radar interferometry can provide displacement measurements of earth dam surfaces and of vibration frequencies of its main concrete infrastructures. In many cases, dams were built many decades ago and, at that time, were not equipped with in situ sensors embedded in the structure when they were built. Earth dams have scattering properties similar to landslides for which the Ground-Based Synthetic Aperture Radar (GBSAR) technique has been so far extensively applied to study ground displacements. In this work, SAR and Real Aperture Radar (RAR) configurations are used for the measurement of earth dam surface displacements and vibration frequencies of concrete structures, respectively. A methodology for the acquisition of SAR data and the rendering of results is described. The geometrical correction factor, needed to transform the Line-of-Sight (LoS) displacement measurements of GBSAR into an estimate of the horizontal displacement vector of the dam surface, is derived. Furthermore, a methodology for the acquisition of RAR data and the representation of displacement temporal profiles and vibration frequency spectra of dam concrete structures is presented. For this study a Ku-band ground-based radar, equipped with horn antennas having different radiation patterns, has been used. Four case studies, using different radar acquisition strategies specifically developed for the monitoring of earth dams, are examined. The results of this work show the information that a Ku-band ground-based radar can provide to structural engineers for a non-destructive seismic assessment of earth dams.

  17. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  18. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. Attentional capture by masked colour singletons.

    Science.gov (United States)

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  1. Direct aperture optimization: A turnkey solution for step-and-shoot IMRT

    International Nuclear Information System (INIS)

    Shepard, D.M.; Earl, M.A.; Li, X.A.; Naqvi, S.; Yu, C.

    2002-01-01

    IMRT treatment plans for step-and-shoot delivery have traditionally been produced through the optimization of intensity distributions (or maps) for each beam angle. The optimization step is followed by the application of a leaf-sequencing algorithm that translates each intensity map into a set of deliverable aperture shapes. In this article, we introduce an automated planning system in which we bypass the traditional intensity optimization, and instead directly optimize the shapes and the weights of the apertures. We call this approach 'direct aperture optimization'. This technique allows the user to specify the maximum number of apertures per beam direction, and hence provides significant control over the complexity of the treatment delivery. This is possible because the machine dependent delivery constraints imposed by the MLC are enforced within the aperture optimization algorithm rather than in a separate leaf-sequencing step. The leaf settings and the aperture intensities are optimized simultaneously using a simulated annealing algorithm. We have tested direct aperture optimization on a variety of patient cases using the EGS4/BEAM Monte Carlo package for our dose calculation engine. The results demonstrate that direct aperture optimization can produce highly conformal step-and-shoot treatment plans using only three to five apertures per beam direction. As compared with traditional optimization strategies, our studies demonstrate that direct aperture optimization can result in a significant reduction in both the number of beam segments and the number of monitor units. Direct aperture optimization therefore produces highly efficient treatment deliveries that maintain the full dosimetric benefits of IMRT

  2. Piecewise spectrally band-pass for compressive coded aperture spectral imaging

    International Nuclear Information System (INIS)

    Qian Lu-Lu; Lü Qun-Bo; Huang Min; Xiang Li-Bin

    2015-01-01

    Coded aperture snapshot spectral imaging (CASSI) has been discussed in recent years. It has the remarkable advantages of high optical throughput, snapshot imaging, etc. The entire spatial-spectral data-cube can be reconstructed with just a single two-dimensional (2D) compressive sensing measurement. On the other hand, for less spectrally sparse scenes, the insufficiency of sparse sampling and aliasing in spatial-spectral images reduce the accuracy of reconstructed three-dimensional (3D) spectral cube. To solve this problem, this paper extends the improved CASSI. A band-pass filter array is mounted on the coded mask, and then the first image plane is divided into some continuous spectral sub-band areas. The entire 3D spectral cube could be captured by the relative movement between the object and the instrument. The principle analysis and imaging simulation are presented. Compared with peak signal-to-noise ratio (PSNR) and the information entropy of the reconstructed images at different numbers of spectral sub-band areas, the reconstructed 3D spectral cube reveals an observable improvement in the reconstruction fidelity, with an increase in the number of the sub-bands and a simultaneous decrease in the number of spectral channels of each sub-band. (paper)

  3. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    Science.gov (United States)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  4. Effect of Ibuprofen on masking endodontic diagnosis.

    Science.gov (United States)

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  5. Multi-aperture digital coherent combining for free-space optical communication receivers.

    Science.gov (United States)

    Geisler, David J; Yarnall, Timothy M; Stevens, Mark L; Schieler, Curt M; Robinson, Bryan S; Hamilton, Scott A

    2016-06-13

    Space-to-ground optical communication systems can benefit from reducing the size, weight, and power profiles of space terminals. One way of reducing the required power-aperture product on a space platform is to implement effective, but costly, single-aperture ground terminals with large collection areas. In contrast, we present a ground terminal receiver architecture in which many small less-expensive apertures are efficiently combined to create a large effective aperture while maintaining excellent receiver sensitivity. This is accomplished via coherent detection behind each aperture followed by digitization. The digitized signals are then combined in a digital signal processing chain. Experimental results demonstrate lossless coherent combining of four lasercom signals, at power levels below 0.1 photons/bit/aperture.

  6. Fabless company mask technology approach: fabless but not fab-careless

    Science.gov (United States)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  7. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    Science.gov (United States)

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  8. Manufacture of a conformal multilayer rf antenna substrate using excimer mask imaging technology and a 6-axis robot

    Science.gov (United States)

    Charrier, Michel; Everett, Daniel; Fieret, Jim; Karrer, Tobias; Rau, Sven; Valard, Jean-Luc

    2001-06-01

    A novel method is presented to produce a high precision pattern of copper tracks on both sides of a 4-layer conformal radar antenna made of PEI polymer and shaped as a truncated pseudo-parabolic cylinder. The antenna is an active emitter-receiver so that an accuracy of a fraction of the wavelength of the microwave radiation is required. After 2D layer design in Allegro, the resulting Gerber file-format circuits are wrapped around the antenna shape, resulting in a cutter-path file which provides the input for a postprocessor that outputs G-code for robot- and laser control. A rules file contains embedded information such as laser parameters and mask aperture related to the Allegro symbols. The robot consists of 6 axes that manipulate the antenna, and 2 axes for the mask plate. The antenna can be manipulated to an accuracy of +/- 20 micrometers over its full dimensions of 200x300x50 mm. The four layers are constructed by successive copper coating, resist coating, laser ablation, copper etching, resist removal, insulation polyimide film lamination and laser dielectric drilling for microvia holes and through-holes drilling. Applications are in space and aeronautical communication and radar detection systems, with possible extensions to automotive and mobile hand-sets, and land stations.

  9. Spin dynamics in polarized neutron interferometry

    International Nuclear Information System (INIS)

    Buchelt, R.J.

    2000-05-01

    Since its first implementation in 1974, perfect crystal neutron interferometry has become an extremely successful method applicable to a variety of research fields. Moreover, it proved as an illustrative and didactically valuable experiment for the demonstration of the fundamental principles of quantum mechanics, the neutron being an almost ideal probe for the detection of various effects, as it interacts by all four forces of nature. For instance, the first experimental verification of the 4-pi-periodicity of spinor wave functions was performed with perfect crystal neutron interferometry, and it remains the only method known which demonstrates the quantum mechanical wave-particle-duality of massive particles at a macroscopic separation of the coherent matter waves of several centimeters. A particular position is taken herein by polarized neutron interferometry, which as a collective term comprises all techniques and experiments which not only aim at the coherent splitting and macroscopic separation of neutron beams in the interferometer with the purpose of their separate treatment, but which aim to do so with explicit employment of the spin-magnetic properties of the neutron as a fermion. Remarkable aspects may arise, for example, if nuclear and magnetic potentials are concurrently applied to a partial beam of the interferometer: among other results, it is found that - in perfect agreement to the theoretical predictions - the neutron beam leaving the interferometer features non-zero polarization, even if the incident neutron beam, and hence either of the partial beams, is unpolarized. The main emphasis of the present work lies on the development of an appropriate formalism that describes the effect of simultaneous occurrence of nuclear and magnetic interaction on the emerging intensity and polarization for an arbitrary number of sequential magnetic regions, so-called domains. The confrontation with subtle theoretical problems was inevitable during the experimental

  10. Reducing depth induced spherical aberration in 3D widefield fluorescence microscopy by wavefront coding using the SQUBIC phase mask

    Science.gov (United States)

    Patwary, Nurmohammed; Doblas, Ana; King, Sharon V.; Preza, Chrysanthe

    2014-03-01

    Imaging thick biological samples introduces spherical aberration (SA) due to refractive index (RI) mismatch between specimen and imaging lens immersion medium. SA increases with the increase of either depth or RI mismatch. Therefore, it is difficult to find a static compensator for SA1. Different wavefront coding methods2,3 have been studied to find an optimal way of static wavefront correction to reduce depth-induced SA. Inspired by a recent design of a radially symmetric squared cubic (SQUBIC) phase mask that was tested for scanning confocal microscopy1 we have modified the pupil using the SQUBIC mask to engineer the point spread function (PSF) of a wide field fluorescence microscope. In this study, simulated images of a thick test object were generated using a wavefront encoded engineered PSF (WFEPSF) and were restored using space-invariant (SI) and depth-variant (DV) expectation maximization (EM) algorithms implemented in the COSMOS software4. Quantitative comparisons between restorations obtained with both the conventional and WFE PSFs are presented. Simulations show that, in the presence of SA, the use of the SIEM algorithm and a single SQUBIC encoded WFE-PSF can yield adequate image restoration. In addition, in the presence of a large amount of SA, it is possible to get adequate results using the DVEM with fewer DV-PSFs than would typically be required for processing images acquired with a clear circular aperture (CCA) PSF. This result implies that modification of a widefield system with the SQUBIC mask renders the system less sensitive to depth-induced SA and suitable for imaging samples at larger optical depths.

  11. Quantitative model of transport-aperture coordination during reach-to-grasp movements.

    Science.gov (United States)

    Rand, Miya K; Shimansky, Y P; Hossain, Abul B M I; Stelmach, George E

    2008-06-01

    It has been found in our previous studies that the initiation of aperture closure during reach-to-grasp movements occurs when the hand distance to target crosses a threshold that is a function of peak aperture amplitude, hand velocity, and hand acceleration. Thus, a stable relationship between those four movement parameters is observed at the moment of aperture closure initiation. Based on the concept of optimal control of movements (Naslin 1969) and its application for reach-to-grasp movement regulation (Hoff and Arbib 1993), it was hypothesized that the mathematical equation expressing that relationship can be generalized to describe coordination between hand transport and finger aperture during the entire reach-to-grasp movement by adding aperture velocity and acceleration to the above four movement parameters. The present study examines whether this hypothesis is supported by the data obtained in experiments in which young adults performed reach-to-grasp movements in eight combinations of two reach-amplitude conditions and four movement-speed conditions. It was found that linear approximation of the mathematical model described the relationship among the six movement parameters for the entire aperture-closure phase with very high precision for each condition, thus supporting the hypothesis for that phase. Testing whether one mathematical model could approximate the data across all the experimental conditions revealed that it was possible to achieve the same high level of data-fitting precision only by including in the model two additional, condition-encoding parameters and using a nonlinear, artificial neural network-based approximator with two hidden layers comprising three and two neurons, respectively. This result indicates that transport-aperture coordination, as a specific relationship between the parameters of hand transport and finger aperture, significantly depends on the condition-encoding variables. The data from the aperture-opening phase also fit a

  12. 42 CFR 84.117 - Gas mask containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas mask containers; minimum requirements. 84.117... SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.117 Gas mask containers; minimum requirements. (a) Gas masks shall be equipped with a substantial...

  13. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  14. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    Science.gov (United States)

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, Pmask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  15. Diffraction contrast imaging using virtual apertures

    International Nuclear Information System (INIS)

    Gammer, Christoph; Burak Ozdol, V.; Liebscher, Christian H.; Minor, Andrew M.

    2015-01-01

    Two methods on how to obtain the full diffraction information from a sample region and the associated reconstruction of images or diffraction patterns using virtual apertures are demonstrated. In a STEM-based approach, diffraction patterns are recorded for each beam position using a small probe convergence angle. Similarly, a tilt series of TEM dark-field images is acquired. The resulting datasets allow the reconstruction of either electron diffraction patterns, or bright-, dark- or annular dark-field images using virtual apertures. The experimental procedures of both methods are presented in the paper and are applied to a precipitation strengthened and creep deformed ferritic alloy with a complex microstructure. The reconstructed virtual images are compared with conventional TEM images. The major advantage is that arbitrarily shaped virtual apertures generated with image processing software can be designed without facing any physical limitations. In addition, any virtual detector that is specifically designed according to the underlying crystal structure can be created to optimize image contrast. - Highlights: • A dataset containing all structural information of a given position is recorded. • The dataset allows reconstruction of virtual diffraction patterns or images. • Specific virtual apertures are designed to image precipitates in a complex alloy. • Virtual diffraction patterns from arbitrarily small regions can be established. • Using STEM diffraction to record the dataset is more efficient than TEM dark-field

  16. Characterization methods of integrated optics for mid-infrared interferometry

    Science.gov (United States)

    Labadie, Lucas; Kern, Pierre Y.; Schanen-Duport, Isabelle; Broquin, Jean-Emmanuel

    2004-10-01

    his article deals with one of the important instrumentation challenges of the stellar interferometry mission IRSI-Darwin of the European Space Agency: the necessity to have a reliable and performant system for beam combination has enlightened the advantages of an integrated optics solution, which is already in use for ground-base interferometry in the near infrared. Integrated optics provides also interesting features in terms of filtering, which is a main issue for the deep null to be reached by Darwin. However, Darwin will operate in the mid infrared range from 4 microns to 20 microns where no integrated optics functions are available on-the-shelf. This requires extending the integrated optics concept and the undergoing technology in this spectral range. This work has started with the IODA project (Integrated Optics for Darwin) under ESA contract and aims to provide a first component for interferometry. In this paper are presented the guidelines of the characterization work that is implemented to test and validate the performances of a component at each step of the development phase. We present also an example of characterization experiment used within the frame of this work, is theoretical approach and some results.

  17. Coded aperture solution for improving the performance of traffic enforcement cameras

    Science.gov (United States)

    Masoudifar, Mina; Pourreza, Hamid Reza

    2016-10-01

    A coded aperture camera is proposed for automatic license plate recognition (ALPR) systems. It captures images using a noncircular aperture. The aperture pattern is designed for the rapid acquisition of high-resolution images while preserving high spatial frequencies of defocused regions. It is obtained by minimizing an objective function, which computes the expected value of perceptual deblurring error. The imaging conditions and camera sensor specifications are also considered in the proposed function. The designed aperture improves the depth of field (DoF) and subsequently ALPR performance. The captured images can be directly analyzed by the ALPR software up to a specific depth, which is 13 m in our case, though it is 11 m for the circular aperture. Moreover, since the deblurring results of images captured by our aperture yield fewer artifacts than those captured by the circular aperture, images can be first deblurred and then analyzed by the ALPR software. In this way, the DoF and recognition rate can be improved at the same time. Our case study shows that the proposed camera can improve the DoF up to 17 m while it is limited to 11 m in the conventional aperture.

  18. Heisenberg-limited interferometry with pair coherent states and parity measurements

    International Nuclear Information System (INIS)

    Gerry, Christopher C.; Mimih, Jihane

    2010-01-01

    After reviewing parity-measurement-based interferometry with twin Fock states, which allows for supersensitivity (Heisenberg limited) and super-resolution, we consider interferometry with two different superpositions of twin Fock states, namely, two-mode squeezed vacuum states and pair coherent states. This study is motivated by the experimental challenge of producing twin Fock states on opposite sides of a beam splitter. We find that input two-mode squeezed states, while allowing for Heisenberg-limited sensitivity, do not yield super-resolutions, whereas both are possible with input pair coherent states.

  19. Analysis and test of laws for backward (metacontrast) masking

    NARCIS (Netherlands)

    Francis, G.; Rothmayer, M.; Hermens, F.

    2004-01-01

    In backward visual masking, it is common to find that the mask has its biggest effect when it follows the target by several tens of milliseconds. Research in the 1960s and 1970s suggested that masking effects were best characterized by the stimulus onset asynchrony (SOA) between the target and mask.

  20. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  1. Modulated Source Interferometry with Combined Amplitude and Frequency Modulation

    Science.gov (United States)

    Gutierrez, Roman C. (Inventor)

    1998-01-01

    An improved interferometer is produced by modifying a conventional interferometer to include amplitude and/or frequency modulation of a coherent light source at radio or higher frequencies. The phase of the modulation signal can be detected in an interfering beam from an interferometer and can be used to determine the actual optical phase of the beam. As such, this improvement can be adapted to virtually any two-beam interferometer, including: Michelson, Mach-Zehnder, and Sagnac interferometers. The use of an amplitude modulated coherent tight source results in an interferometer that combines the wide range advantages of coherent interferometry with the precise distance measurement advantages of white light interferometry.

  2. The compact and inexpensive arrowhead setup for holographic interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Ladera, Celso L; Donoso, Guillermo, E-mail: clladera@usb.v [Departamento de Fisica, Universidad Simon BolIvar, Apdo. 89000, Caracas 1086 (Venezuela, Bolivarian Republic of)

    2011-07-15

    Hologram recording and holographic interferometry are intrinsically sensitive to phase changes, and therefore both are easily perturbed by minuscule optical path perturbations. It is therefore very convenient to bank on holographic setups with a reduced number of optical components. Here we present a compact off-axis holographic setup that requires neither a collimator nor a beam-splitter, and whose layout is reminiscent of an arrowhead. We show that this inexpensive setup is a good alternative for the study and applications of scientific holography by measuring small displacements and deformations of a body. The arrowhead setup will be found particularly useful for holography and holographic interferometry experiments and projects in teaching laboratories.

  3. Individual differences in metacontrast masking regarding sensitivity and response bias.

    Science.gov (United States)

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  4. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  5. Pattern Synthesis of Dual-band Shared Aperture Interleaved Linear Antenna Arrays

    Directory of Open Access Journals (Sweden)

    H. Guo

    2014-09-01

    Full Text Available This paper presents an approach to improve the efficiency of an array aperture by interleaving two different arrays in the same aperture area. Two sub-arrays working at different frequencies are interleaved in the same linear aperture area. The available aperture area is efficiently used. The element positions of antenna array are optimized by using Invasive Weed Optimization (IWO to reduce the peak side lobe level (PSLL of the radiation pattern. To overcome the shortness of traditional methods which can only fulfill the design of shared aperture antenna array working at the same frequency, this method can achieve the design of dual-band antenna array with wide working frequency range. Simulation results show that the proposed method is feasible and efficient in the synthesis of dual-band shared aperture antenna array.

  6. Solar energy apparatus with apertured shield

    Science.gov (United States)

    Collings, Roger J. (Inventor); Bannon, David G. (Inventor)

    1989-01-01

    A protective apertured shield for use about an inlet to a solar apparatus which includesd a cavity receiver for absorbing concentrated solar energy. A rigid support truss assembly is fixed to the periphery of the inlet and projects radially inwardly therefrom to define a generally central aperture area through which solar radiation can pass into the cavity receiver. A non-structural, laminated blanket is spread over the rigid support truss in such a manner as to define an outer surface area and an inner surface area diverging radially outwardly from the central aperture area toward the periphery of the inlet. The outer surface area faces away from the inlet and the inner surface area faces toward the cavity receiver. The laminated blanket includes at least one layer of material, such as ceramic fiber fabric, having high infra-red emittance and low solar absorption properties, and another layer, such as metallic foil, of low infra-red emittance properties.

  7. Class of near-perfect coded apertures

    International Nuclear Information System (INIS)

    Cannon, T.M.; Fenimore, E.E.

    1978-01-01

    The encoding/decoding method produces artifacts, which even in the absence of quantum noise, restrict the quality of the reconstructed image. This is true of most correlation-type methods. If the decoding procedure is of the deconvolution variety, small terms in the transfer function of the aperture can lead to excessive noise in the reconstructed image. The authors propose to circumvent both of these problems by use of a uniformly redundant array (URA) as the coded aperture in conjunction with a special correlation decoding method. The correlation of the decoding array with the aperture results in a delta function with deterministically zero sidelobes. It is shown that the reconstructed image in the URA system contains virtually uniform noise regardless of the structure in the original source. Therefore, the improvement over a single pinhole camera will be relatively larger for the brighter points in the source than for the low intensity points. 12 refs

  8. An investigation into the efficiency of disposable face masks.

    Science.gov (United States)

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  9. Monitoring civil infrastructure using satellite radar interferometry

    NARCIS (Netherlands)

    Chang, L.

    2015-01-01

    Satellite radar interferometry (InSAR) is a precise and efficient technique to monitor deformation on Earth with millimeter precision. Most InSAR applications focus on geophysical phenomena, such as earthquakes, volcanoes, or subsidence. Monitoring civil infrastructure with InSAR is relatively new,

  10. Time-lapse controlled-source electromagnetics using interferometry

    NARCIS (Netherlands)

    Hunziker, J.W.; Slob, E.C.; Wapenaar, C.P.A.

    In time-lapse controlled-source electromagnetics, it is crucial that the source and the receivers are positioned at exactly the same location at all times of measurement. We use interferometry by multidimensional deconvolution (MDD) to overcome problems in repeatability of the source location.

  11. Face mask ventilation--the dos and don'ts.

    Science.gov (United States)

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  12. A respiratory mask for resting and exercising dogs.

    Science.gov (United States)

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  13. Communication masking in marine mammals: A review and research strategy.

    Science.gov (United States)

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  14. Synthetic aperture design for increased SAR image rate

    Science.gov (United States)

    Bielek, Timothy P [Albuquerque, NM; Thompson, Douglas G [Albuqerque, NM; Walker, Bruce C [Albuquerque, NM

    2009-03-03

    High resolution SAR images of a target scene at near video rates can be produced by using overlapped, but nevertheless, full-size synthetic apertures. The SAR images, which respectively correspond to the apertures, can be analyzed in sequence to permit detection of movement in the target scene.

  15. The digital holographic interferometry in resonant acoustic spectroscopy

    International Nuclear Information System (INIS)

    GAPONOV, V.E.; AZAMATOV, Z.T.; REDKORECHEV, V.I.; ISAEV, A.M.

    2014-01-01

    The opportunities of application of digital holographic interferometry method for studies of shapes of resonant modes in resonant acoustic spectroscopy are shown. The results of experimental measurements and analytical calculations are submitted. (authors)

  16. Finding Large Aperture Fractures in Geothermal Resource Areas Using a Three-Component Long-Offset Surface Seismic Survey, PSInSAR and Kinematic Structural Analysis

    Energy Technology Data Exchange (ETDEWEB)

    Teplow, William J. [US Geothermal, Inc., Boise, ID (United States); Warren, Ian [US Geothermal, Inc., Boise, ID (United States)

    2015-08-12

    The DOE cost-share program applied innovative and cutting edge seismic surveying and processing, permanent scatter interferometry-synthetic aperture radar (PSInSAR) and structural kinematics to the exploration problem of locating and mapping largeaperture fractures (LAFs) for the purpose of targeting geothermal production wells. The San Emidio geothermal resource area, which is under lease to USG, contains production wells that have encountered and currently produce from LAFs in the southern half of the resource area (Figure 2). The USG lease block, incorporating the northern extension of the San Emidio geothermal resource, extends 3 miles north of the operating wellfield. The northern lease block was known to contain shallow thermal waters but was previously unexplored by deep drilling. Results of the Phase 1 exploration program are described in detail in the Phase 1 Final Report (Teplow et al., 2011). The DOE cost shared program was completed as planned on September 30, 2014. This report summarizes results from all of Phase 1 and 2 activities.

  17. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  18. Incoherent digital holograms acquired by interferenceless coded aperture correlation holography system without refractive lenses.

    Science.gov (United States)

    Kumar, Manoj; Vijayakumar, A; Rosen, Joseph

    2017-09-14

    We present a lensless, interferenceless incoherent digital holography technique based on the principle of coded aperture correlation holography. The acquired digital hologram by this technique contains a three-dimensional image of some observed scene. Light diffracted by a point object (pinhole) is modulated using a random-like coded phase mask (CPM) and the intensity pattern is recorded and composed as a point spread hologram (PSH). A library of PSHs is created using the same CPM by moving the pinhole to all possible axial locations. Intensity diffracted through the same CPM from an object placed within the axial limits of the PSH library is recorded by a digital camera. The recorded intensity this time is composed as the object hologram. The image of the object at any axial plane is reconstructed by cross-correlating the object hologram with the corresponding component of the PSH library. The reconstruction noise attached to the image is suppressed by various methods. The reconstruction results of multiplane and thick objects by this technique are compared with regular lens-based imaging.

  19. Neutron Interferometry at the National Institute of Standards and Technology

    International Nuclear Information System (INIS)

    Huber, M. G.; Sarenac, D.; Nsofini, J.; Pushin, D. A.; Arif, M.; Wood, C. J.; Cory, D. G.; Shahi, C. B.

    2015-01-01

    Neutron interferometry has proved to be a very precise technique for measuring the quantum mechanical phase of a neutron caused by a potential energy difference between two spatially separated neutron paths inside interferometer. The path length inside the interferometer can be many centimeters (and many centimeters apart) making it very practical to study a variety of samples, fields, potentials, and other macroscopic medium and quantum effects. The precision of neutron interferometry comes at a cost; neutron interferometers are very susceptible to environmental noise that is typically mitigated with large, active isolated enclosures. With recent advances in quantum information processing especially quantum error correction (QEC) codes we were able to demonstrate a neutron interferometer that is insensitive to vibrational noise. A facility at NIST’s Center for Neutron Research (NCNR) has just been commissioned with higher neutron flux than the NCNR’s older interferometer setup. This new facility is based on QEC neutron interferometer, thus improving the accessibility of neutron interferometry to the greater scientific community and expanding its applications to quantum computing, gravity, and material research

  20. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  1. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  2. RHIC prefire protection masks

    International Nuclear Information System (INIS)

    Drees, A.; Biscardi, C.; Curcio, T.; Gassner, D.; DeSanto, L.; Fu, W.; Liaw, C. J.; Montag, C.; Thieberger, P.; Yip, K.

    2015-01-01

    The protection of the RHIC experimental detectors from damage due to beam hitting close upstream elements in cases of abort kicker prefires requires some dedicated precautionary measures with two general options: to bring the beam close to a limiting aperture (i.e. the beam pipe wall), as far upstream of the detector components as possible or, alternatively, to bring a limiting aperture close to the circulating beam. Spontaneous and random prefires of abort kicker modules (Pulse Forming Network, PFN) have a history as long as RHIC is being operated. The abort system consist of 5 kickers in per ring, each of them equipped with its own dedicated PFN.

  3. Photopolymer for Optical Holography and Holographic Interferometry

    Czech Academy of Sciences Publication Activity Database

    Květoň, M.; Lédl, Vít; Havránek, A.; Fiala, P.

    2010-01-01

    Roč. 295, č. 1 (2010), s. 107-113 ISSN 1022-1360 Institutional research plan: CEZ:AV0Z20430508 Keywords : holographic interferometry * holography * photopolymerization * recording material * refractive index Subject RIV: BH - Optics, Masers, Lasers http://onlinelibrary.wiley.com/doi/10.1002/masy.200900093/pdf

  4. Satellite radar interferometry for monitoring and early-stage warning of structural instability in archaeological sites

    International Nuclear Information System (INIS)

    Tapete, D; Fanti, R; Casagli, N; Cecchi, R; Petrangeli, P

    2012-01-01

    Satellite interferometric synthetic aperture radar (InSAR) monitoring campaigns were performed on the archaeological heritage of the Roman Forum, Palatino and Oppio Hills in the centre of Rome, Italy, to test the capabilities of persistent scatterer interferometry techniques for the preventive diagnosis of deformation threatening the structural stability of archaeological monuments and buried structures. ERS-1/2 and RADARSAT-1/2 SAR images were processed with the permanent scatterers InSAR (PSInSAR) and SqueeSAR approaches, and the identified measurement points (MP) were radar-interpreted to map the conservation criticalities in relation to the local geohazard factors and active deterioration processes. The multi-temporal reconstruction of past/recent instability events based on the MP deformation time series provided evidences of stabilization for the Domus Tiberiana as a consequence of recent restoration works, as well as of persistent deformation for the Temple of Magna Mater on the Palatino Hill and the structures of the Baths of Trajan on the Oppio Hill. Detailed time series analysis was also exploited to back monitor and understand the nature of the 2010 collapse that occurred close to Nero's Golden House, and to establish an early-stage warning procedure useful to preventively detect potential instability. (paper)

  5. Two-dimensional χ2 analysis in kaon interferometry

    International Nuclear Information System (INIS)

    Roldao, C.G.; Padula, S.S.

    1997-01-01

    This work presents preliminary results obtained from the χ 2 analysis performed on the E 859 Joint Work data. The work objective is to quantify the resolution power of the kaon two-dimension interferometry

  6. Attosecond electron wave packet interferometry

    International Nuclear Information System (INIS)

    Remetter, T.; Ruchon, T.; Johnsson, P.; Varju, K.; Gustafsson, E.

    2006-01-01

    Complete test of publication follows. The well controlled generation and characterization of attosecond XUV light pulses provide an unprecedented tool to study electron wave packets (EWPs). Here a train of attosecond pulses is used to create and study the phase of an EWP in momentum space. There is a clear analogy between electronic wave functions and optical fields. In optics, methods like SPIDER or wave front shearing interferometry, allow to measure the spectral or spatial phase of a light wave. These two methods are based on the same principle: an interferogram is produced when recombining two sheared replica of a light pulse, spectrally (SPIDER) or spatially (wave front shearing interferometry). This enables the comparison of two neighbouring different spectral or spatial slices of the original wave packet. In the experiment, a train of attosecond pulses is focused in an Argon atomic gas jet. EWPs are produced from the single XUV photon ionization of Argon atoms. If an IR beam is synchronized to the EWPs, it is possible to introduce a shear in momentum space between two consecutive s wave packets. A Velocity Map Imaging Spectrometer (VMIS) enables us to detect the interference pattern. An analysis of the interferograms will be presented leading to a conclusion about the symmetry of the studied wave packet.

  7. The fastest saccadic responses escape visual masking

    DEFF Research Database (Denmark)

    Crouzet, Sébastien M.; Overgaard, Morten; Busch, Niko A.

    2014-01-01

    Object-substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. The reduction of target visibility occurring after OSM has been suggested to result from a specific interference with reentrant......, which gives access to very early stages of visual processing, target visibility was reduced either by OSM, conventional backward masking, or low stimulus contrast. A general reduction of performance was observed in all three conditions. However, the fastest saccades did not show any sign of interference...... under either OSM or backward masking, as they did under the low-contrast condition. This finding supports the hypothesis that masking interferes mostly with reentrant processing at later stages, while leaving early feedforward processing largely intact....

  8. An efficient and novel computation method for simulating diffraction patterns from large-scale coded apertures on large-scale focal plane arrays

    Science.gov (United States)

    Shrekenhamer, Abraham; Gottesman, Stephen R.

    2012-10-01

    A novel and memory efficient method for computing diffraction patterns produced on large-scale focal planes by largescale Coded Apertures at wavelengths where diffraction effects are significant has been developed and tested. The scheme, readily implementable on portable computers, overcomes the memory limitations of present state-of-the-art simulation codes such as Zemax. The method consists of first calculating a set of reference complex field (amplitude and phase) patterns on the focal plane produced by a single (reference) central hole, extending to twice the focal plane array size, with one such pattern for each Line-of-Sight (LOS) direction and wavelength in the scene, and with the pattern amplitude corresponding to the square-root of the spectral irradiance from each such LOS direction in the scene at selected wavelengths. Next the set of reference patterns is transformed to generate pattern sets for other holes. The transformation consists of a translational pattern shift corresponding to each hole's position offset and an electrical phase shift corresponding to each hole's position offset and incoming radiance's direction and wavelength. The set of complex patterns for each direction and wavelength is then summed coherently and squared for each detector to yield a set of power patterns unique for each direction and wavelength. Finally the set of power patterns is summed to produce the full waveband diffraction pattern from the scene. With this tool researchers can now efficiently simulate diffraction patterns produced from scenes by large-scale Coded Apertures onto large-scale focal plane arrays to support the development and optimization of coded aperture masks and image reconstruction algorithms.

  9. Dynamic Aperture Measurements at the Advanced Light Source

    International Nuclear Information System (INIS)

    Decking, W.; Robin, D.

    1999-01-01

    A large dynamic aperture for a storage ring is of importance for long lifetimes and a high injection efficiency. Measurements of the dynamic aperture of the third generation synchrotron light source Advanced Light Source (ALS) using beam excitation with kicker magnets are presented. The experiments were done for various accelerator conditions, allowing us to investigate the influence of different working points, chromaticities, insertion devices, etc.. The results are compared both with tracking calculations and a simple model for the dynamic aperture yielding good agreements. This gives us confidence in the predictability of the nonlinear accelerator model. This is especially important for future ALS upgrades as well as new storage ring designs

  10. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  11. A recent history of science cases for optical interferometry

    Science.gov (United States)

    Defrère, Denis; Aerts, Conny; Kishimoto, Makoto; Léna, Pierre

    2018-04-01

    Optical long-baseline interferometry is a unique and powerful technique for astronomical research. Since the 1980's (with I2T, GI2T, Mark I to III, SUSI, ...), optical interferometers have produced an increasing number of scientific papers covering various fields of astrophysics. As current interferometric facilities are reaching their maturity, we take the opportunity in this paper to summarize the conclusions of a few key meetings, workshops, and conferences dedicated to interferometry. We present the most persistent recommendations related to science cases and discuss some key technological developments required to address them. In the era of extremely large telescopes, optical long-baseline interferometers will remain crucial to probe the smallest spatial scales and make breakthrough discoveries.

  12. GLEBUS SAINCIUC’S PAPIER-MÂCHÉ PORTRAIT MASKS

    Directory of Open Access Journals (Sweden)

    MARIAN ANA

    2016-12-01

    Full Text Available The portrait masks created by Glebus Sainciuc (1919-2012 portray painters, sculptors, musicians, writers, actors, playwrights,filmmakers etc., and they are a proof of the fact that the individual contribution of these persons to the artistic development of our country has not been forgotten. Morphologically, the papier-mâché portrait masks of master Glebus Sainciuc are situated at the limit of painting, graphic drawing and sculpture; the masks were created by the master using the papier-mâché techniques. We should mention that the author’s predilection for the fauvist and naïve techniques in portrait representation, together with the style of his caricatures and small size drawings, infl uenced the caricatures style of his masks. The first portrait masks were created by Glebus Sainciuc in 1957, and by the end of his artistic activity his collection consisted of 350 masks – all depicting different persons, but all sharing the same portrait techniques and showing the inner charm of these persons.

  13. Singer product apertures—A coded aperture system with a fast decoding algorithm

    International Nuclear Information System (INIS)

    Byard, Kevin; Shutler, Paul M.E.

    2017-01-01

    A new type of coded aperture configuration that enables fast decoding of the coded aperture shadowgram data is presented. Based on the products of incidence vectors generated from the Singer difference sets, we call these Singer product apertures. For a range of aperture dimensions, we compare experimentally the performance of three decoding methods: standard decoding, induction decoding and direct vector decoding. In all cases the induction and direct vector methods are several orders of magnitude faster than the standard method, with direct vector decoding being significantly faster than induction decoding. For apertures of the same dimensions the increase in speed offered by direct vector decoding over induction decoding is better for lower throughput apertures.

  14. Singer product apertures—A coded aperture system with a fast decoding algorithm

    Energy Technology Data Exchange (ETDEWEB)

    Byard, Kevin, E-mail: kevin.byard@aut.ac.nz [School of Economics, Faculty of Business, Economics and Law, Auckland University of Technology, Auckland 1142 (New Zealand); Shutler, Paul M.E. [National Institute of Education, Nanyang Technological University, 1 Nanyang Walk, Singapore 637616 (Singapore)

    2017-06-01

    A new type of coded aperture configuration that enables fast decoding of the coded aperture shadowgram data is presented. Based on the products of incidence vectors generated from the Singer difference sets, we call these Singer product apertures. For a range of aperture dimensions, we compare experimentally the performance of three decoding methods: standard decoding, induction decoding and direct vector decoding. In all cases the induction and direct vector methods are several orders of magnitude faster than the standard method, with direct vector decoding being significantly faster than induction decoding. For apertures of the same dimensions the increase in speed offered by direct vector decoding over induction decoding is better for lower throughput apertures.

  15. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    Science.gov (United States)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  16. Basic radio interferometry for future lunar missions

    NARCIS (Netherlands)

    Aminaei, Amin; Klein Wolt, Marc; Chen, Linjie; Bronzwaer, Thomas; Pourshaghaghi, Hamid Reza; Bentum, Marinus Jan; Falcke, Heino

    2014-01-01

    In light of presently considered lunar missions, we investigate the feasibility of the basic radio interferometry (RIF) for lunar missions. We discuss the deployment of two-element radio interferometer on the Moon surface. With the first antenna element is envisaged to be placed on the lunar lander,

  17. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  18. Permafrost Active Layer Seismic Interferometry Experiment (PALSIE).

    Energy Technology Data Exchange (ETDEWEB)

    Abbott, Robert [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Knox, Hunter Anne [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); James, Stephanie [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Lee, Rebekah [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Cole, Chris [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2016-01-01

    We present findings from a novel field experiment conducted at Poker Flat Research Range in Fairbanks, Alaska that was designed to monitor changes in active layer thickness in real time. Results are derived primarily from seismic data streaming from seven Nanometric Trillium Posthole seismometers directly buried in the upper section of the permafrost. The data were evaluated using two analysis methods: Horizontal to Vertical Spectral Ratio (HVSR) and ambient noise seismic interferometry. Results from the HVSR conclusively illustrated the method's effectiveness at determining the active layer's thickness with a single station. Investigations with the multi-station method (ambient noise seismic interferometry) are continuing at the University of Florida and have not yet conclusively determined active layer thickness changes. Further work continues with the Bureau of Land Management (BLM) to determine if the ground based measurements can constrain satellite imagery, which provide measurements on a much larger spatial scale.

  19. Observations of binary stars by speckle interferometry

    International Nuclear Information System (INIS)

    Morgan, B.L.; Beckmann, G.K.; Scaddan, R.J.

    1980-01-01

    This is the second paper in a series describing observations of binary stars using the technique of speckle interferometry. Observations were made using the 2.5-m Isaac Newton Telescope and the 1-m telescope of the Royal Greenwich Observatory and the 1.9-m telescope of the South African Astronomical Observatory. The classical Rayleigh diffraction limits are 0.050 arcsec for the 2.5-m telescope, 0.065 arcsec for the 1.9-m telescope and 0.125 arcsec for the 1-m telescope, at a wavelength of 500 nm. The results of 29 measurements of 26 objects are presented. The objects include long period spectroscopic binaries from the 6th Catalogue of Batten, close visual binary systems from the 3rd Catalogue of Finsen and Worley and variable stars. Nine of the objects have not been previously resolved by speckle interferometry. New members are detected in the systems β Cep, p Vel and iota UMa. (author)

  20. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    Science.gov (United States)

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  1. 1995 mask industry quality assessment

    Science.gov (United States)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  2. Endogenous cueing attenuates object substitution masking.

    Science.gov (United States)

    Germeys, Filip; Pomianowska, I; De Graef, P; Zaenen, P; Verfaillie, K

    2010-07-01

    Object substitution masking (OSM) is a form of visual masking in which a briefly presented target surrounded by four small dots is masked by the continuing presence of the four dots after target offset. A major parameter in the prediction of OSM is the time required for attention to be directed to the target following its onset. Object substitution theory (Di Lollo et al. in J Exp Psychol Gen 129:481-507, 2000) predicts that the sooner attention can be focused at the target's location, the less masking will ensue. However, recently Luiga and Bachmann (Psychol Res 71:634-640, 2007) presented evidence that precueing of attention to the target location prior to target-plus-mask onset by means of a central (endogenous) arrow cue does not reduce OSM. When attention was cued exogenously, OSM was attenuated. Based on these results, Luiga and Bachmann argued that object substitution theory should be adapted by differentiating the ways of directing attention to the target location. The goal of the present study was to further examine the dissociation between the effects of endogenous and exogenous precueing on OSM. Contrary to Luiga and Bachmann, our results show that prior shifts of attention to the target location initiated by both exogenous and endogenous cues reduce OSM as predicted by object substitution theory and its computational model CMOS.

  3. Self characterization of a coded aperture array for neutron source imaging

    Energy Technology Data Exchange (ETDEWEB)

    Volegov, P. L., E-mail: volegov@lanl.gov; Danly, C. R.; Guler, N.; Merrill, F. E.; Wilde, C. H. [Los Alamos National Laboratory, Los Alamos, New Mexico 87544 (United States); Fittinghoff, D. N. [Livermore National Laboratory, Livermore, California 94550 (United States)

    2014-12-15

    The neutron imaging system at the National Ignition Facility (NIF) is an important diagnostic tool for measuring the two-dimensional size and shape of the neutrons produced in the burning deuterium-tritium plasma during the stagnation stage of inertial confinement fusion implosions. Since the neutron source is small (∼100 μm) and neutrons are deeply penetrating (>3 cm) in all materials, the apertures used to achieve the desired 10-μm resolution are 20-cm long, triangular tapers machined in gold foils. These gold foils are stacked to form an array of 20 apertures for pinhole imaging and three apertures for penumbral imaging. These apertures must be precisely aligned to accurately place the field of view of each aperture at the design location, or the location of the field of view for each aperture must be measured. In this paper we present a new technique that has been developed for the measurement and characterization of the precise location of each aperture in the array. We present the detailed algorithms used for this characterization and the results of reconstructed sources from inertial confinement fusion implosion experiments at NIF.

  4. A novel anti-influenza copper oxide containing respiratory face mask.

    Science.gov (United States)

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk of hand or environmental contamination, and thereby subsequent infection, due to improper handling and disposal of the masks.

  5. Development of movable mask system to cope with high beam current

    International Nuclear Information System (INIS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-01-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator

  6. Self-masking subtraction tomosynthesis

    International Nuclear Information System (INIS)

    Chakraborty, D.P.; Yester, M.V.; Barnes, G.T.; Lakshminarayanan, A.V.

    1984-01-01

    The authors tested the image quality and dose savings of self-masking subtraction tomosynthesis (SST), which combines digital tomosynthesis with subtraction of a blurred self-mask. High-quality images of the inner ear of a head phantom were obtained at moderate dose savings. Although they were taken with linear motion, they did not exhibit the streaking due to off-fulcrum objects that is characteristic of conventional linear tomography. SST could reduce patient dose by a factor of at least 12 in examinations of the inner ear, and the mechanical aspects can be implemented with moderate modifications of existing instrumentation

  7. The chaotic dynamical aperture

    International Nuclear Information System (INIS)

    Lee, S.Y.; Tepikian, S.

    1985-01-01

    Nonlinear magnetic forces become more important for particles in the modern large accelerators. These nonlinear elements are introduced either intentionally to control beam dynamics or by uncontrollable random errors. Equations of motion in the nonlinear Hamiltonian are usually non-integrable. Because of the nonlinear part of the Hamiltonian, the tune diagram of accelerators is a jungle. Nonlinear magnet multipoles are important in keeping the accelerator operation point in the safe quarter of the hostile jungle of resonant tunes. Indeed, all the modern accelerator design have taken advantages of nonlinear mechanics. On the other hand, the effect of the uncontrollable random multipoles should be evaluated carefully. A powerful method of studying the effect of these nonlinear multipoles is using a particle tracking calculation, where a group of test particles are tracing through these magnetic multipoles in the accelerator hundreds to millions of turns in order to test the dynamical aperture of the machine. These methods are extremely useful in the design of a large accelerator such as SSC, LEP, HERA and RHIC. These calculations unfortunately take tremendous amount of computing time. In this paper, we try to apply the existing method in the nonlinear dynamics to study the possible alternative solution. When the Hamiltonian motion becomes chaotic, the tune of the machine becomes undefined. The aperture related to the chaotic orbit can be identified as chaotic dynamical aperture. We review the method of determining chaotic orbit and apply the method to nonlinear problems in accelerator physics. We then discuss the scaling properties and effect of random sextupoles

  8. Variable aperture-based ptychographical iterative engine method

    Science.gov (United States)

    Sun, Aihui; Kong, Yan; Meng, Xin; He, Xiaoliang; Du, Ruijun; Jiang, Zhilong; Liu, Fei; Xue, Liang; Wang, Shouyu; Liu, Cheng

    2018-02-01

    A variable aperture-based ptychographical iterative engine (vaPIE) is demonstrated both numerically and experimentally to reconstruct the sample phase and amplitude rapidly. By adjusting the size of a tiny aperture under the illumination of a parallel light beam to change the illumination on the sample step by step and recording the corresponding diffraction patterns sequentially, both the sample phase and amplitude can be faithfully reconstructed with a modified ptychographical iterative engine (PIE) algorithm. Since many fewer diffraction patterns are required than in common PIE and the shape, the size, and the position of the aperture need not to be known exactly, this proposed vaPIE method remarkably reduces the data acquisition time and makes PIE less dependent on the mechanical accuracy of the translation stage; therefore, the proposed technique can be potentially applied for various scientific researches.

  9. Evaluation of Criteria to Detect Masked Hypertension

    Science.gov (United States)

    Booth, John N.; Muntner, Paul; Diaz, Keith M.; Viera, Anthony J.; Bello, Natalie A.; Schwartz, Joseph E.; Shimbo, Daichi

    2016-01-01

    The prevalence of masked hypertension, out-of-clinic daytime systolic/diastolic blood pressure (SBP/DBP)≥135/85 mmHg on ambulatory blood pressure monitoring (ABPM) among adults with clinic SBP/DBPABPM testing criterion. In a derivation cohort (n=695), the index was clinic SBP+1.3*clinic DBP. In an external validation cohort (n=675), the sensitivity for masked hypertension using an index ≥190 mmHg and ≥217 mmHg and prehypertension status was 98.5%, 71.5% and 82.5%, respectively. Using NHANES data (n=11,778), we estimated that these thresholds would refer 118.6, 44.4 and 59.3 million US adults, respectively, to ABPM screening for masked hypertension. In conclusion, the CBP index provides a useful approach to identify candidates for masked hypertension screening using ABPM. PMID:27126770

  10. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    Science.gov (United States)

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  11. [Patients' reaction to pharmacists wearing a mask during their consultations].

    Science.gov (United States)

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  12. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  13. Performance limits of ion extraction systems with non-circular apertures

    Energy Technology Data Exchange (ETDEWEB)

    Shagayda, A., E-mail: shagayda@gmail.com; Madeev, S. [Keldysh Research Centre, Onezhskaya, 8, 125438 Moscow (Russian Federation)

    2016-04-15

    A three-dimensional computer simulation is used to determine the perveance limitations of ion extraction systems with non-circular apertures. The objective of the study is to analyze the possibilities to improve mechanical strength of the ion optics made of carbon-carbon composite materials. Non-circular grid apertures are better suited to the physical structure of carbon-carbon composite materials, than conventionally used circular holes in a hexagonal pattern, because they allow a fewer number of cut fibers. However, the slit-type accelerating systems, usually regarded as the main alternative to the conventional ion optics, have an intolerably narrow range of operating perveance values at which there is no direct ion impingement on the acceleration grid. This paper presents results of comparative analysis of a number of different ion optical systems with non-circular apertures and conventional ion optical systems with circular apertures. It has been revealed that a relatively wide perveance range without direct ion impingement may be obtained with apertures shaped as a square with rounded corners. Numerical simulations show that this geometry may have equivalent perveance range as the traditional geometry with circular apertures while being more mechanically robust. In addition, such important characteristics, as the effective transparency for both the ions and the neutral atoms, the height of the potential barrier reflecting the downstream plasma electrons and the angular divergence of the beamlet also can be very close to these parameters for the optics with circular apertures.

  14. Performance limits of ion extraction systems with non-circular apertures.

    Science.gov (United States)

    Shagayda, A; Madeev, S

    2016-04-01

    A three-dimensional computer simulation is used to determine the perveance limitations of ion extraction systems with non-circular apertures. The objective of the study is to analyze the possibilities to improve mechanical strength of the ion optics made of carbon-carbon composite materials. Non-circular grid apertures are better suited to the physical structure of carbon-carbon composite materials, than conventionally used circular holes in a hexagonal pattern, because they allow a fewer number of cut fibers. However, the slit-type accelerating systems, usually regarded as the main alternative to the conventional ion optics, have an intolerably narrow range of operating perveance values at which there is no direct ion impingement on the acceleration grid. This paper presents results of comparative analysis of a number of different ion optical systems with non-circular apertures and conventional ion optical systems with circular apertures. It has been revealed that a relatively wide perveance range without direct ion impingement may be obtained with apertures shaped as a square with rounded corners. Numerical simulations show that this geometry may have equivalent perveance range as the traditional geometry with circular apertures while being more mechanically robust. In addition, such important characteristics, as the effective transparency for both the ions and the neutral atoms, the height of the potential barrier reflecting the downstream plasma electrons and the angular divergence of the beamlet also can be very close to these parameters for the optics with circular apertures.

  15. Performance limits of ion extraction systems with non-circular apertures

    Science.gov (United States)

    Shagayda, A.; Madeev, S.

    2016-04-01

    A three-dimensional computer simulation is used to determine the perveance limitations of ion extraction systems with non-circular apertures. The objective of the study is to analyze the possibilities to improve mechanical strength of the ion optics made of carbon-carbon composite materials. Non-circular grid apertures are better suited to the physical structure of carbon-carbon composite materials, than conventionally used circular holes in a hexagonal pattern, because they allow a fewer number of cut fibers. However, the slit-type accelerating systems, usually regarded as the main alternative to the conventional ion optics, have an intolerably narrow range of operating perveance values at which there is no direct ion impingement on the acceleration grid. This paper presents results of comparative analysis of a number of different ion optical systems with non-circular apertures and conventional ion optical systems with circular apertures. It has been revealed that a relatively wide perveance range without direct ion impingement may be obtained with apertures shaped as a square with rounded corners. Numerical simulations show that this geometry may have equivalent perveance range as the traditional geometry with circular apertures while being more mechanically robust. In addition, such important characteristics, as the effective transparency for both the ions and the neutral atoms, the height of the potential barrier reflecting the downstream plasma electrons and the angular divergence of the beamlet also can be very close to these parameters for the optics with circular apertures.

  16. Performance limits of ion extraction systems with non-circular apertures

    International Nuclear Information System (INIS)

    Shagayda, A.; Madeev, S.

    2016-01-01

    A three-dimensional computer simulation is used to determine the perveance limitations of ion extraction systems with non-circular apertures. The objective of the study is to analyze the possibilities to improve mechanical strength of the ion optics made of carbon-carbon composite materials. Non-circular grid apertures are better suited to the physical structure of carbon-carbon composite materials, than conventionally used circular holes in a hexagonal pattern, because they allow a fewer number of cut fibers. However, the slit-type accelerating systems, usually regarded as the main alternative to the conventional ion optics, have an intolerably narrow range of operating perveance values at which there is no direct ion impingement on the acceleration grid. This paper presents results of comparative analysis of a number of different ion optical systems with non-circular apertures and conventional ion optical systems with circular apertures. It has been revealed that a relatively wide perveance range without direct ion impingement may be obtained with apertures shaped as a square with rounded corners. Numerical simulations show that this geometry may have equivalent perveance range as the traditional geometry with circular apertures while being more mechanically robust. In addition, such important characteristics, as the effective transparency for both the ions and the neutral atoms, the height of the potential barrier reflecting the downstream plasma electrons and the angular divergence of the beamlet also can be very close to these parameters for the optics with circular apertures.

  17. The effect of masking in the attentional dwell time paradigm

    DEFF Research Database (Denmark)

    Petersen, Anders

    2009-01-01

    , 1994). In most studies of attentional dwell time, two masked targets have been used. Moore et al. (1996) have criticised the masking of the first target when measuring the attentional dwell time, finding a shorter attentional dwell time when the first mask was omitted. In the presented work, the effect...... of the first mask is further investigated by including a condition where the first mask is presented without a target. The results from individual subjects show that the findings of Moore et al. can be replicated. The results also suggest that presenting the first mask without a target is enough to produce...... an impairment of the second target. Hence, the attentional dwell time may be a combined effect arising from attending to both the first target and its mask....

  18. Seismic interferometry by crosscorrelation and by multidimensional deconvolution: a systematic comparison

    Science.gov (United States)

    Wapenaar, Kees; van der Neut, Joost; Ruigrok, Elmer; Draganov, Deyan; Hunziker, Jürg; Slob, Evert; Thorbecke, Jan; Snieder, Roel

    2011-06-01

    Seismic interferometry, also known as Green's function retrieval by crosscorrelation, has a wide range of applications, ranging from surface-wave tomography using ambient noise, to creating virtual sources for improved reflection seismology. Despite its successful applications, the crosscorrelation approach also has its limitations. The main underlying assumptions are that the medium is lossless and that the wavefield is equipartitioned. These assumptions are in practice often violated: the medium of interest is often illuminated from one side only, the sources may be irregularly distributed, and losses may be significant. These limitations may partly be overcome by reformulating seismic interferometry as a multidimensional deconvolution (MDD) process. We present a systematic analysis of seismic interferometry by crosscorrelation and by MDD. We show that for the non-ideal situations mentioned above, the correlation function is proportional to a Green's function with a blurred source. The source blurring is quantified by a so-called interferometric point-spread function which, like the correlation function, can be derived from the observed data (i.e. without the need to know the sources and the medium). The source of the Green's function obtained by the correlation method can be deblurred by deconvolving the correlation function for the point-spread function. This is the essence of seismic interferometry by MDD. We illustrate the crosscorrelation and MDD methods for controlled-source and passive-data applications with numerical examples and discuss the advantages and limitations of both methods.

  19. High-contrast visible nulling coronagraph for segmented and arbitrary telescope apertures

    Science.gov (United States)

    Hicks, Brian A.; Lyon, Richard G.; Bolcar, Matthew R.; Clampin, Mark; Petrone, Peter

    2014-08-01

    Exoplanet coronagraphy will be driven by the telescope architectures available and thus the system designer must have available one or more suitable coronagraphic instrument choices that spans the set of telescope apertures, including filled (off-axis), obscured (e.g. with secondary mirror spiders and struts), segmented apertures, such as JWST, and interferometric apertures. In this work we present one such choice of coronagraph, known as the visible nulling coronagraph (VNC), that spans all four types of aperture and also employs differential sensing and control.

  20. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    Energy Technology Data Exchange (ETDEWEB)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H. [Universitaetsklinik Bonn, Radiologische Klinik, FE Strahlentherapie, Bonn (Germany)

    2014-04-15

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal {sup registered} or Open Face {sup registered} mask, Orfit) or the standard three-point mask (Raycast {sup registered} -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  1. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    International Nuclear Information System (INIS)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H.

    2014-01-01

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal registered or Open Face registered mask, Orfit) or the standard three-point mask (Raycast registered -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  2. Ships as salient objects in synthetic aperture radar imaginary

    CSIR Research Space (South Africa)

    Schwegmann, Colin P

    2016-07-01

    Full Text Available The widespread access to Synthetic Aperture Radar data has created a need for more precise ship extraction, specifically in low-to-medium resolution imagery. While Synthetic Aperture Radar pixel resolution is improving for a large swaths...

  3. Electromagnetic Formation Flight (EMFF) for Sparse Aperture Arrays

    Science.gov (United States)

    Kwon, Daniel W.; Miller, David W.; Sedwick, Raymond J.

    2004-01-01

    Traditional methods of actuating spacecraft in sparse aperture arrays use propellant as a reaction mass. For formation flying systems, propellant becomes a critical consumable which can be quickly exhausted while maintaining relative orientation. Additional problems posed by propellant include optical contamination, plume impingement, thermal emission, and vibration excitation. For these missions where control of relative degrees of freedom is important, we consider using a system of electromagnets, in concert with reaction wheels, to replace the consumables. Electromagnetic Formation Flight sparse apertures, powered by solar energy, are designed differently from traditional propulsion systems, which are based on V. This paper investigates the design of sparse apertures both inside and outside the Earth's gravity field.

  4. Exo-planet Direct Imaging with On-Axis and/or Segmented Apertures in Space: Adaptive Compensation of Aperture Discontinuities

    Science.gov (United States)

    Soummer, Remi

    Capitalizing on a recent breakthrough in wavefront control theory for obscured apertures made by our group, we propose to demonstrate a method to achieve high contrast exoplanet imaging with on-axis obscured apertures. Our new algorithm, which we named Adaptive Compensation of Aperture Discontinuities (ACAD), provides the ability to compensate for aperture discontinuities (segment gaps and/or secondary mirror supports) by controlling deformable mirrors in a nonlinear wavefront control regime not utilized before but conceptually similar to the beam reshaping used in PIAA coronagraphy. We propose here an in-air demonstration at 1E- 7 contrast, enabled by adding a second deformable mirror to our current test-bed. This expansion of the scope of our current efforts in exoplanet imaging technologies will enabling us to demonstrate an integrated solution for wavefront control and starlight suppression on complex aperture geometries. It is directly applicable at scales from moderate-cost exoplanet probe missions to the 2.4 m AFTA telescopes to future flagship UVOIR observatories with apertures potentially 16-20 m. Searching for nearby habitable worlds with direct imaging is one of the top scientific priorities established by the Astro2010 Decadal Survey. Achieving this ambitious goal will require 1e-10 contrast on a telescope large enough to provide angular resolution and sensitivity to planets around a significant sample of nearby stars. Such a mission must of course also be realized at an achievable cost. Lightweight segmented mirror technology allows larger diameter optics to fit in any given launch vehicle as compared to monolithic mirrors, and lowers total life-cycle costs from construction through integration & test, making it a compelling option for future large space telescopes. At smaller scales, on-axis designs with secondary obscurations and supports are less challenging to fabricate and thus more affordable than the off-axis unobscured primary mirror designs

  5. Counteracting Power Analysis Attacks by Masking

    Science.gov (United States)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  6. Differential effect of visual masking in perceptual categorization.

    Science.gov (United States)

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  7. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  8. Synthetic aperture radar capabilities in development

    Energy Technology Data Exchange (ETDEWEB)

    Miller, M. [Lawrence Livermore National Lab., CA (United States)

    1994-11-15

    The Imaging and Detection Program (IDP) within the Laser Program is currently developing an X-band Synthetic Aperture Radar (SAR) to support the Joint US/UK Radar Ocean Imaging Program. The radar system will be mounted in the program`s Airborne Experimental Test-Bed (AETB), where the initial mission is to image ocean surfaces and better understand the physics of low grazing angle backscatter. The Synthetic Aperture Radar presentation will discuss its overall functionality and a brief discussion on the AETB`s capabilities. Vital subsystems including radar, computer, navigation, antenna stabilization, and SAR focusing algorithms will be examined in more detail.

  9. A conceptual approach to the masking effect of measures of disproportionality.

    Science.gov (United States)

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  10. X-ray face mask and chest shield device

    International Nuclear Information System (INIS)

    Moti, S.

    1981-01-01

    A protective face mask is designed to shield an x-ray technician or machine operator primarily from random secondary or scatter x-rays deflected towards his face, head and neck by the table, walls, equipment and other reflecting elements in an x-ray room or chamber. The face mask and chest shield device can be mounted on a patient's shoulders in reverse attitude to protect the back of a patient's head and neck from the x-ray beam. The face mask is relatively or substantially transparent and contains lead in combination with a plastic ionomer or comonomer, which to a degree absorbs or resists penetration of the random deflected secondary or scatter x-rays or the x-ray beam through the mask. The face mask is removably attachable to the chest shield for easy application of the device to and support upon the shoulders of the technician or the patient. (author)

  11. Perception of Scary Halloween Masks by Zoo Animals and Humans

    OpenAIRE

    Sinnott, Joan M.; Speaker, H. Anton; Powell, Laura A.; Mosteller, Kelly W.

    2012-01-01

    Zoo animals were tested to see if they perceived the scary nature of Halloween masks, using a procedure that measured the avoidance response latency to take food from a masked human experimenter. Human perception of the masks was also assessed using a rating scale, with results showing that a Bill Clinton mask was rated not scary, while a Vampire mask was rated very scary. Animal results showed that primate latencies correlated significantly with the human ratings, while non-primate latencies...

  12. Landslide Kinematical Analysis through Inverse Numerical Modelling and Differential SAR Interferometry

    Science.gov (United States)

    Castaldo, R.; Tizzani, P.; Lollino, P.; Calò, F.; Ardizzone, F.; Lanari, R.; Guzzetti, F.; Manunta, M.

    2015-11-01

    The aim of this paper is to propose a methodology to perform inverse numerical modelling of slow landslides that combines the potentialities of both numerical approaches and well-known remote-sensing satellite techniques. In particular, through an optimization procedure based on a genetic algorithm, we minimize, with respect to a proper penalty function, the difference between the modelled displacement field and differential synthetic aperture radar interferometry (DInSAR) deformation time series. The proposed methodology allows us to automatically search for the physical parameters that characterize the landslide behaviour. To validate the presented approach, we focus our analysis on the slow Ivancich landslide (Assisi, central Italy). The kinematical evolution of the unstable slope is investigated via long-term DInSAR analysis, by exploiting about 20 years of ERS-1/2 and ENVISAT satellite acquisitions. The landslide is driven by the presence of a shear band, whose behaviour is simulated through a two-dimensional time-dependent finite element model, in two different physical scenarios, i.e. Newtonian viscous flow and a deviatoric creep model. Comparison between the model results and DInSAR measurements reveals that the deviatoric creep model is more suitable to describe the kinematical evolution of the landslide. This finding is also confirmed by comparing the model results with the available independent inclinometer measurements. Our analysis emphasizes that integration of different data, within inverse numerical models, allows deep investigation of the kinematical behaviour of slow active landslides and discrimination of the driving forces that govern their deformation processes.

  13. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  14. Contact printed masks for 3D microfabrication in negative resists

    DEFF Research Database (Denmark)

    Häfliger, Daniel; Boisen, Anja

    2005-01-01

    We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...

  15. Masking interrupts figure-ground signals in V1.

    Science.gov (United States)

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  16. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  17. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  18. Aperture referral in dioptric systems with stigmatic elements

    Directory of Open Access Journals (Sweden)

    W. F. Harris

    2012-12-01

    Full Text Available A previous paper develops the general theory of aperture referral in linear optics and shows how several ostensibly distinct concepts, including the blur patch on the retina, the effective corneal patch, the projective field and the field of view, are now unified as particular applications of the general theory.  The theory allows for astigmatism and heterocentricity.  Symplecticity and the generality of the approach, however, make it difficult to gain insight and mean that the material is not accessible to readers unfamiliar with matrices and linear algebra. The purpose of this paper is to examine whatis, perhaps, the most important special case, that in which astigmatism is ignored.  Symplecticity and, hence, the mathematics become greatly simplified. The mathematics reduces largely to elementary vector algebra and, in some places, simple scalar algebra and yet retains the mathematical form of the general approach.  As a result the paper allows insight into and provides a stepping stone to the general theory.  Under referral an aperture under-goes simple scalar magnification and transverse translation.  The paper pays particular attention to referral to transverse planes in the neighbourhood of a focal point where the magnification may be positive, zero or negative.  Circular apertures are treated as special cases of elliptical apertures and the meaning of referred apertures of negative radius is explained briefly. (S Afr Optom 2012 71(1 3-11

  19. Active mask segmentation of fluorescence microscope images.

    Science.gov (United States)

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  20. Limited aperture effects on ultrasonic image reconstruction

    International Nuclear Information System (INIS)

    Kogan, V.G.; Rose, J.H.

    1985-01-01

    In the inverse Born approximation the shape of a weak scatterer can be determined from a knowledge of the backscattered ultrasonic amplitude for all directions of incidence and all frequencies. Two questions are considered. First, what information on the scatterer shape is preserved and what is degraded if the scattering data are available only within a limited set of incident directions (limited aperture). This problem is addressed for a spherical weakly scattering uniform flaw. It is shown that the problem of a general uniform ellipsoidal flaw can be reduced to the spherical case by a scale transformation; however, the apertures in these two cases must be related by the same transformation. Second, limited aperture and finite bandwidth Born inversions were performed for strongly scattering flaws (voids and cracks) using numerically generated scattering amplitudes. These inversions were then compared with the weak scattering analytic results, which show many common features

  1. Variable aperture-based ptychographical iterative engine method.

    Science.gov (United States)

    Sun, Aihui; Kong, Yan; Meng, Xin; He, Xiaoliang; Du, Ruijun; Jiang, Zhilong; Liu, Fei; Xue, Liang; Wang, Shouyu; Liu, Cheng

    2018-02-01

    A variable aperture-based ptychographical iterative engine (vaPIE) is demonstrated both numerically and experimentally to reconstruct the sample phase and amplitude rapidly. By adjusting the size of a tiny aperture under the illumination of a parallel light beam to change the illumination on the sample step by step and recording the corresponding diffraction patterns sequentially, both the sample phase and amplitude can be faithfully reconstructed with a modified ptychographical iterative engine (PIE) algorithm. Since many fewer diffraction patterns are required than in common PIE and the shape, the size, and the position of the aperture need not to be known exactly, this proposed vaPIE method remarkably reduces the data acquisition time and makes PIE less dependent on the mechanical accuracy of the translation stage; therefore, the proposed technique can be potentially applied for various scientific researches. (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE).

  2. Synthetic aperture tissue and flow ultrasound imaging

    DEFF Research Database (Denmark)

    Nikolov, Svetoslav

    imaging applied to medical ultrasound. It is divided into two major parts: tissue and blood flow imaging. Tissue imaging using synthetic aperture algorithms has been investigated for about two decades, but has not been implemented in medical scanners yet. Among the other reasons, the conventional scanning...... and beamformation methods are adequate for the imaging modalities in clinical use - the B-mode imaging of tissue structures, and the color mapping of blood flow. The acquisition time, however, is too long, and these methods fail to perform real-time three-dimensional scans. The synthetic transmit aperture......, on the other hand, can create a Bmode image with as little as 2 emissions, thus significantly speeding-up the scan procedure. The first part of the dissertation describes the synthetic aperture tissue imaging. It starts with an overview of the efforts previously made by other research groups. A classification...

  3. Application of SAR interferometry to low-rate crustal deformation fields

    Science.gov (United States)

    Vincent, Paul

    Differential SAR interferometry is applied to the study of low-rate interseismic crustal deformation fields along three regions of the San Adreas fault system: Salton Sea (southernmost region), Pinto Mountain fault (south-central region), and San Francisco Bay (northern region). New techniques are developed to analyze and model these low-rate deformation fields including constrained horizontal-vertical component deconvolution, deformation phase pattern analysis and strain field evolution modeling. Several new active faults were discovered as well as unmeasured activity on existing faults in the process of this SAR interferometry study. The feasibility and limitations of InSAR as a tool to study low-rate deformation fields is also addressed.

  4. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  5. Dead space variability of face masks for valved holding chambers.

    Science.gov (United States)

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  6. Autofocus algorithm for synthetic aperture radar imaging with large curvilinear apertures

    Science.gov (United States)

    Bleszynski, E.; Bleszynski, M.; Jaroszewicz, T.

    2013-05-01

    An approach to autofocusing for large curved synthetic aperture radar (SAR) apertures is presented. Its essential feature is that phase corrections are being extracted not directly from SAR images, but rather from reconstructed SAR phase-history data representing windowed patches of the scene, of sizes sufficiently small to allow the linearization of the forward- and back-projection formulae. The algorithm processes data associated with each patch independently and in two steps. The first step employs a phase-gradient-type method in which phase correction compensating (possibly rapid) trajectory perturbations are estimated from the reconstructed phase history for the dominant scattering point on the patch. The second step uses phase-gradient-corrected data and extracts the absolute phase value, removing in this way phase ambiguities and reducing possible imperfections of the first stage, and providing the distances between the sensor and the scattering point with accuracy comparable to the wavelength. The features of the proposed autofocusing method are illustrated in its applications to intentionally corrupted small-scene 2006 Gotcha data. The examples include the extraction of absolute phases (ranges) for selected prominent point targets. They are then used to focus the scene and determine relative target-target distances.

  7. Testing the resolving power of 2-D K+ K+ interferometry at Ags energies

    International Nuclear Information System (INIS)

    Roldao, Cristiane G.; Padula, Sandra S.

    1998-01-01

    Adopting a procedure previously proposed to quantitatively study pion interferometry, an equivalent 2-D X 2 analysis was performed to test the resolving power of that method when applied to less favorable conditions, i.e., when non significant contribution from long lived resonances is expected, as in kaon interferometry. For that purpose, use is made of the preliminary E859 K + K + interferometry data from Si+Au collisions at 14.6 A GeV/c. Less sensitivity is achieved in the present case, although it is shown that it is still possible to distinguish two distinct decoupling geometries. The possible compatibility of the data with zero decoupling proper time interval, suggested by the experimental fit, is also investigated and seems to be ruled out when considering dynamical models with expanding sources. (author)

  8. A novel anti-influenza copper oxide containing respiratory face mask.

    Directory of Open Access Journals (Sweden)

    Gadi Borkow

    Full Text Available BACKGROUND: Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10TCID(50 of human influenza A virus (H1N1 and avian influenza virus (H9N2, respectively, under simulated breathing conditions (28.3 L/min. Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10TCID(50, while 4.67+/-1.35 log(10TCID(50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10TCID(50 and from the control masks 5.03+/-0.54 log(10TCID(50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. CONCLUSIONS/SIGNIFICANCE: Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical

  9. Statistical measurement of power spectrum density of large aperture optical component

    International Nuclear Information System (INIS)

    Xu Jiancheng; Xu Qiao; Chai Liqun

    2010-01-01

    According to the requirement of ICF, a method based on statistical theory has been proposed to measure the power spectrum density (PSD) of large aperture optical components. The method breaks the large-aperture wavefront into small regions, and obtains the PSD of the large-aperture wavefront by weighted averaging of the PSDs of the regions, where the weight factor is each region's area. Simulation and experiment demonstrate the effectiveness of the proposed method. They also show that, the obtained PSDs of the large-aperture wavefront by statistical method and sub-aperture stitching method fit well, when the number of small regions is no less than 8 x 8. The statistical method is not sensitive to translation stage's errors and environment instabilities, thus it is appropriate for PSD measurement during the process of optical fabrication. (authors)

  10. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  11. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  12. Airflow-Restricting Mask Reduces Acute Performance in Resistance Exercise

    Directory of Open Access Journals (Sweden)

    Yuri L. Motoyama

    2016-09-01

    Full Text Available Background: The aim of this study was to compare the number of repetitions to volitional failure, the blood lactate concentration, and the perceived exertion to resistance training with and without an airflow-restricting mask. Methods: Eight participants participated in a randomized, counterbalanced, crossover study. Participants were assigned to an airflow-restricting mask group (MASK or a control group (CONT and completed five sets of chest presses and parallel squats until failure at 75% one-repetition-maximum test (1RM with 60 s of rest between sets. Ratings of perceived exertion (RPEs, blood lactate concentrations (Lac−, and total repetitions were taken after the training session. Results: MASK total repetitions were lower than those of the CONT, and (Lac− and MASK RPEs were higher than those of the CONT in both exercises. Conclusions: We conclude that an airflow-restricting mask in combination with resistance training increase perceptions of exertion and decrease muscular performance and lactate concentrations when compared to resistance training without this accessory. This evidence shows that the airflow-restricting mask may change the central nervous system and stop the exercise beforehand to prevent some biological damage.

  13. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    Science.gov (United States)

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  14. Parameter Optimization of Multi-Element Synthetic Aperture Imaging Systems

    Directory of Open Access Journals (Sweden)

    Vera Behar

    2007-03-01

    Full Text Available In conventional ultrasound imaging systems with phased arrays, the further improvement of lateral resolution requires enlarging of the number of array elements that in turn increases both, the complexity and the cost, of imaging systems. Multi-element synthetic aperture focusing (MSAF systems are a very good alternative to conventional systems with phased arrays. The benefit of the synthetic aperture is in reduction of the system complexity, cost and acquisition time. In a MSAF system considered in the paper, a group of elements transmit and receive signals simultaneously, and the transmit beam is defocused to emulate a single element response. The echo received at each element of a receive sub-aperture is recorded in the computer memory. The process of transmission/reception is repeated for all positions of a transmit sub-aperture. All the data recordings associated with each corresponding pair "transmit-receive sub-aperture" are then focused synthetically producing a low-resolution image. The final high-resolution image is formed by summing of the all low-resolution images associated with transmit/receive sub-apertures. A problem of parameter optimization of a MSAF system is considered in this paper. The quality of imaging (lateral resolution and contrast is expressed in terms of the beam characteristics - beam width and side lobe level. The comparison between the MSAF system described in the paper and an equivalent conventional phased array system shows that the MSAF system acquires images of equivalent quality much faster using only a small part of the power per image.

  15. Determination of the paraxial focal length using Zernike polynomials over different apertures

    Science.gov (United States)

    Binkele, Tobias; Hilbig, David; Henning, Thomas; Fleischmann, Friedrich

    2017-02-01

    The paraxial focal length is still the most important parameter in the design of a lens. As presented at the SPIE Optics + Photonics 2016, the measured focal length is a function of the aperture. The paraxial focal length can be found when the aperture approaches zero. In this work, we investigate the dependency of the Zernike polynomials on the aperture size with respect to 3D space. By this, conventional wavefront measurement systems that apply Zernike polynomial fitting (e.g. Shack-Hartmann-Sensor) can be used to determine the paraxial focal length, too. Since the Zernike polynomials are orthogonal over a unit circle, the aperture used in the measurement has to be normalized. By shrinking the aperture and keeping up with the normalization, the Zernike coefficients change. The relation between these changes and the paraxial focal length are investigated. The dependency of the focal length on the aperture size is derived analytically and evaluated by simulation and measurement of a strong focusing lens. The measurements are performed using experimental ray tracing and a Shack-Hartmann-Sensor. Using experimental ray tracing for the measurements, the aperture can be chosen easily. Regarding the measurements with the Shack-Hartmann- Sensor, the aperture size is fixed. Thus, the Zernike polynomials have to be adapted to use different aperture sizes by the proposed method. By doing this, the paraxial focal length can be determined from the measurements in both cases.

  16. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  17. Comodulation masking release in bit-rate reduction systems

    DEFF Research Database (Denmark)

    Vestergaard, Martin David; Rasmussen, Karsten Bo; Poulsen, Torben

    1999-01-01

    It has been suggested that the level dependence of the upper masking slope be utilized in perceptual models in bit-rate reduction systems. However, comodulation masking release (CMR) phenomena lead to a reduction of the masking effect when a masker and a probe signal are amplitude modulated...... with the same frequency. In bit-rate reduction systems the masker would be the audio signal and the probe signal would represent the quantization noise. Masking curves have been determined for sinusoids and 1-Bark-wide noise maskers in order to investigate the risk of CMR, when quantizing depths are fixed...... in accordance with psycho-acoustical principles. Masker frequencies of 500 Hz, 1 kHz, and 2 kHz have been investigated, and the masking of pure tone probes has been determined in the first four 1/3 octaves above the masker. Modulation frequencies between 6 and 20 Hz were used with a modulation depth of 0...

  18. Permeability and dispersivity of variable-aperture fracture systems

    International Nuclear Information System (INIS)

    Tsang, Y.W.; Tsang, C.F.

    1990-01-01

    A number of recent experiments have pointed out the need of including the effects of aperture variation within each fracture in predicting flow and transport properties of fractured media. This paper introduces a new approach in which medium properties, such as the permeability to flow and dispersivity in tracer transport, are correlated to only three statistical parameters describing the fracture aperture probability distribution and the aperture spatial correlation. We demonstrate how saturated permeability and relative permeabilities for flow, as well as dispersion for solute transport in fractures may be calculated. We are in the process of examining the applicability of these concepts to field problems. Results from the evaluation and analysis of the recent Stripa-3D field data are presented. 13 refs., 10 figs

  19. Masking responses to light in period mutant mice.

    Science.gov (United States)

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  20. Highly uniform parallel microfabrication using a large numerical aperture system

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zi-Yu; Su, Ya-Hui, E-mail: ustcsyh@ahu.edu.cn, E-mail: dongwu@ustc.edu.cn [School of Electrical Engineering and Automation, Anhui University, Hefei 230601 (China); Zhang, Chen-Chu; Hu, Yan-Lei; Wang, Chao-Wei; Li, Jia-Wen; Chu, Jia-Ru; Wu, Dong, E-mail: ustcsyh@ahu.edu.cn, E-mail: dongwu@ustc.edu.cn [CAS Key Laboratory of Mechanical Behavior and Design of Materials, Department of Precision Machinery and Precision Instrumentation, University of Science and Technology of China, Hefei 230026 (China)

    2016-07-11

    In this letter, we report an improved algorithm to produce accurate phase patterns for generating highly uniform diffraction-limited multifocal arrays in a large numerical aperture objective system. It is shown that based on the original diffraction integral, the uniformity of the diffraction-limited focal arrays can be improved from ∼75% to >97%, owing to the critical consideration of the aperture function and apodization effect associated with a large numerical aperture objective. The experimental results, e.g., 3 × 3 arrays of square and triangle, seven microlens arrays with high uniformity, further verify the advantage of the improved algorithm. This algorithm enables the laser parallel processing technology to realize uniform microstructures and functional devices in the microfabrication system with a large numerical aperture objective.